Verilog学习笔记(7)Modelsim仿真_三角波发生器

1.状态机代码设计与仿真

1.1三角波发生器

 代码:

//最简单的状态机,三角波发生器;

module tri_gen(
                clk,
                res,
                d_out
               );

input            clk;
input            res;
output[8:0]      d_out;

reg              state;//定义主状态机的寄存器;
reg[8:0]         d_out;//将来会在awalys里面赋值,是一个实际的值,所以先定义为reg;299为8位;

always@(posedge clk or negedge res)
if(~res) begin
    state<=0;d_out=o;
end
else begin
    case(state)
    0://上升
    begin
        d_out<=d_out+1;
        if(d_out==299) begin
            state<=1;
        end
    end
    1://下降
    begin
        d_out<=d_out-1;
        if(d_out==1) begin
            state<=0;
        end
    end
    endcase
end

endmodule

Test bench࿱

  • 1
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值