Linux iverilog编译与波形显示

## 命令
iverilog *.v
vvp a.out
gtkwave reg.vcd
initial began

$finish;

end

//仿真文件必须加入 生成波形文件

initial
    begin            
        $dumpfile("test_tb.lxt");
        $dumpfile("reg.vcd");
        $dumpvars(0, test_tb);
    end 

终端显示

blue@orange:~/文档/verilog/verilog-test$ ls
test_tb.v  test.v
blue@orange:~/文档/verilog/verilog-test$ iverilog *.v
blue@orange:~/文档/verilog/verilog-test$ ls
a.out  test_tb.v  test.v
blue@orange:~/文档/verilog/verilog-test$ vvp a.out 
VCD warning: test_tb.v:25: Overriding dump file test_tb.lxt with reg.vcd.
VCD info: dumpfile reg.vcd opened for output.
blue@orange:~/文档/verilog/verilog-test$ ls
a.out  reg.vcd  test_tb.v  test.v
blue@orange:~/文档/verilog/verilog-test$ gtkwave reg.vcd 

 

 

  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值