基于FPGA的频率计设计

目录

1、频率计介绍

2、频率计测量方法

3、Verilog代码

4、实验测试


1、频率计介绍

频率计是检测脉冲信号频率的电子测量仪器。对于其它波形,需通过前级电路处理得到脉冲波再送往

2、频率计测量方法

检测脉冲信号上升沿的时间间隔,对获得的数据进行处理,可以得到脉冲信号的频率。

 计算公式:Fx=Fclk/cnt;

此种测量方法适合测量低频信号。在实际应用中非常适合测量汽车车速传感器等传感器模块产生的脉冲波频率。

3、Verilog代码

获得上升沿之间计数器的数值,本模块主要完成此设计。

module cesu(clk,clr,f_x,f);
input clk;
input clr;
input f_x;//输入信号
output [10:0]f;//测得频率

parameter SYS_CLK = 100000000;

wire f_x_edge;//脉冲信号上升沿检测
reg f_x_r;
reg f_x_r_p;

//脉冲信号上升沿检测
always@(posedge clk or posedge clr)//复位信号clr高电平有效
    if(clr)
        begin
            f_x_r<=0;
            f_x_r_p<=0;
 
  • 1
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值