[HDLBits] Exams/2013 q2afsm

Consider the FSM described by the state diagram shown below:

Exams 2013q2.png

This FSM acts as an arbiter circuit, which controls access to some type of resource by three requesting devices. Each device makes its request for the resource by setting a signal r[i] = 1, where r[i] is either r[1]r[2], or r[3]. Each r[i] is an input signal to the FSM, and represents one of the three devices. The FSM stays in state A as long as there are no requests. When one or more request occurs, then the FSM decides which device receives a grant to use the resource and changes to a state that sets that device’s g[i] signal to 1. Each g[i] is an output from the FSM. There is a priority system, in that device 1 has a higher priority than device 2, and device 3 has the lowest priority. Hence, for example, device 3 will only receive a grant if it is the only device making a request when the FSM is in state A. Once a device, i, is given a grant by the FSM, that device continues to receive the grant as long as its request, r[i] = 1.

Write complete Verilog code that represents this FSM. Use separate always blocks for the state table and the state flip-flops, as done in lectures. Describe the FSM outputs, g[i], using either continuous assignment statement(s) or an always block (at your discretion). Assign any state codes that you wish to use.

module top_module (
    input clk,
    input resetn,    // active-low synchronous reset
    input [3:1] r,   // request
    output [3:1] g   // grant
); 
	parameter free=0,e1=1,e2=2,e3=3;
    reg [1:0] state,next;
    always@(*) begin
        case(state)
            free:begin
                if(r==3'b000)
                    next<=free;
                else if(r[1])
                    next<=e1;
                else if(r[2])
                    next<=e2;
                else
                    next<=e3;
            end
            e1:begin
                if(r[1])
                    next<=e1;
                else
                    next<=free;
            end
            e2:begin
                if(r[2])
                    next<=e2;
                else
                    next<=free;
            end
            e3:begin
                if(r[3])
                    next<=e3;
                else
                    next<=free;
            end
        endcase
    end
    always@(posedge clk) begin
        if(!resetn)
            state<=free;
        else
            state<=next;
    end
    assign g[1]=state==e1;
    assign g[2]=state==e2;
    assign g[3]=state==e3;
endmodule

 

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值