可乐机的设计验证

前言

        状态机(State Machine)是一种数学模型,用于表示具有有限状态集合的系统。它通过定义状态、转移规则和事件,描述系统在不同条件下的行为。状态机的核心概念包括状态、事件、转移和动作。状态是系统的具体条件或配置,事件是引发状态变化的外部输入,而状态转移是系统从一个状态到另一个状态的过程。状态机广泛应用于计算机科学、电子工程和控制系统中,用于建模复杂的逻辑和行为,如网络协议、用户界面和自动化控制。通过将系统行为分解为离散状态和转移规则,状态机能够清晰地描述和管理系统的动态特性。

        状态机(FSM),同步(与时钟)有限状态机,分Moore型状态机(输出仅与当前状态有关),Mealy型状态机(输出与当前状态和输入有关),二者的状态跳转与输入有关。

正文

一、可乐机的设计验证

        1.项目需求

        可乐机,每次只能投一元,可乐售价3元,当连续投入三枚一元硬币后可乐机吐出可乐。

        2.技术介绍

        使用 `parameter` 定义了三个状态:`wit`(等待状态),`one`(状态一),`two`(状态二)。设置状态寄存器:`stater` 是一个 3 位宽的寄存器,用于存储当前状态。在时钟上升沿或复位信号的下降沿触发时,状态机根据当前状态和 `po_money` 的值决定状态转移。
        `wit` 状态下,若收到投币信号,则转到 `one` 状态,否则保持在 `wit`。
        `one` 状态下,若再次收到投币信号,则转到 `two` 状态,否则保持在 `one`。
        `two` 状态下,若再一次收到投币信号,则转回 `wit` 状态,否则保持在 `two`。

po_cola` 输出信号,在 `two` 状态且 `po_money` 为1时设为1,表示可以取到饮料;否则设为取不到饮料。

模拟一个饮料自动售货机的基本功能,即在接收到两次投币信号后,允许取到饮料。每个状态的转移逻辑确保了系统能够在不同的状态间正确地转换,并在达到条件时输出正确的信号。

        3.顶层架构

        4.端口描述

clk时钟信号(50Mhz)
rst_n复位信号(低电平有效)
pi_money一元硬币投入
po_cola可乐输出

二、代码验证

module cola_ji(

	input			clk,
	input			rst_n,
	input			po_money,//一元输入
	
	output  reg	    po_cola//可乐输出,alwaya中赋值用reg
	
);

parameter wit = 3'b001;//等待
parameter one = 3'b010;//一元
parameter two = 3'b100;//两元

reg [2:0]stater;

always @(posedge clk,negedge rst_n)
begin
	if(rst_n == 0)
		stater <= wit;
	else case(stater)
		wit : if(po_money == 1'b1)//一元输入,状态跳转
					stater <= one;
				else
					stater <= wit;
		one : if(po_money == 1'b1)//一元输入,状态跳转
					stater <= two;
				else
					stater <= one;
		two : if(po_money == 1'b1)//一元输入,状态跳转
					stater <= wit;
				else
					stater <= two;
		default : stater <= wit;
	endcase
end

always @(posedge clk,negedge rst_n)
begin
	if(rst_n == 0)
		po_cola <= 1'b0;
	else
		if((stater == two)&&(po_money == 1'b1))//二元时一元输入,可乐输出
			po_cola <= 1'b1;
		else
			po_cola <= 1'b0;
end

endmodule

仿真代码

`timescale 1ns/1ps
module  cola_ji_tb;

	reg			clk;
	reg			rst_n;
	reg			po_money;
	
	wire			po_cola;


cola_ji cola_ji_inst(

	.clk			(clk		),
	.rst_n		(rst_n	),
	.po_money	(po_money),
	             
	.po_cola		(po_cola	)
	
);
	
initial clk = 1;
always #10 clk = ~clk;

initial begin 
	rst_n = 0;
	po_money = 1'b0;
	#20
	rst_n = 1;
	#20
	po_money = 1'b1;
	#20
	po_money = 1'b0;
	#20
	po_money = 1'b1;
	#20
	po_money = 1'b0;
	#20
	po_money = 1'b1;
	#20
	po_money = 1'b0;//三元硬币投入
	#20
	
	
	po_money = 1'b1;
	#20
	po_money = 1'b0;
	#20
	po_money = 1'b1;
	#20
	po_money = 1'b0;
	#20
	po_money = 1'b1;
	#20
	po_money = 1'b0;//三元硬币投入
	#20
	
	po_money = 1'b1;
	#20
	po_money = 1'b0;
	#20
	po_money = 1'b1;
	#20
	po_money = 1'b0;
	#20
	po_money = 1'b1;
	#20
	po_money = 1'b0;//三元硬币投入
	#200
	$stop;
end

endmodule

三、仿真验证

代码编译通过,观察状态转移图,点击如下图位置

可以看到与理论绘制的状态转移图相同

观察rtl电路图,stater为上图的状态转移图

运行仿真,可乐输出正常,按照理论逻辑输出,调出中间信号观察

可乐在二元状态下检测到再次投入的一元硬币时,可乐投出。

参考资料

状态机

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

张明阳.

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值