FPGA-Vivado-IP核-虚拟输入输出(VIO)

VIO IP核

背景介绍

      Vivado中的VIO(Virtual Input/Output,虚拟输入/输出) IP核是一种用于调试和测试FPGA设计的IP核。当设计者通过JTAG接口与FPGA芯片连接时,在Vivado的Verilog代码中添加VIO IP核,就可以让设计者与FPGA内部的寄存器进行交互,设计者也可以实时监视和修改设计中的信号,以便进行调试和验证。

IP核使用

      VIO IP核打开如下图所示,该IP核允许用户自定义VIO的输入和输出的探头个数和探头位宽。同时,用户也可以自定义VIO IP核的输出默认值,许多项目的复位信号则可以通过VIO IP核输出的默认值来实现。具体IP核各参数的设置等可以参考下面这篇CSDN文章。

Vivado中VIO IP核的使用

      在该IP核的实际使用过程中,VIO与实际待测模块的连接如下所示。若要查看某一待测模块的输出信号状态,就将该输出信号连接自VIO的输入;若要对待测模块的某一输入信号赋初始值或给上升沿下降沿之类的信号,就将VIO的输出与待测模块的输入进行连接。

举例介绍 

以一个简单的4选1-选择器为例,若要对其输入输出进行测试,则VIO连接如下图所示。

具体的上板测试验证,可以参考下面这篇CSDN文章。

FPGA_学习_15_IP核_VIO

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值