EtherCAT主站DEMO介绍 总线部分包括 EtherCAT 协议、Canopen 协议、1588 同步协议,全部在 FPGA上实现,纯 Verilog 实现,无软核,时间精准

本文介绍了基于FPGA实现的EtherCAT、Canopen和1588同步协议DEMO板,强调纯Verilog实现,无软核,时间精准。支持1-32轴伺服驱动,循环周期最小可达31.25us,抖动低至10ns,详细阐述了性能参数和用户接口设计。
摘要由CSDN通过智能技术生成

EtherCAT 总线 demo 板介绍
一、测试架构介绍
在这里插入图片描述

  • 总线部分包括 EtherCAT 协议、Canopen 协议、1588 同步协议,全部在 FPGA上实现,纯 Verilog 实现,无软核,时间精准。
  • FPGA 挂百兆网口串接伺服,布线简单。
  • 支持驱动 1-32 轴,自动侦测。
  • CPU 和 FPGA 的接口,根据 CPU 的不同而不同,常用的如 FSMC 接口、GPMC接口、PCI/PCIE 接口等等。
  • 运动控制部分用户可以选择在 STM32、ARM、X86 等 CPU 上实现 (此部分代码 demo 板不包含)
  • DEMO 板具备参数固定自动运行模式,可测试总线的通讯和驱动器侦测运行正常。
  • 图中 User design 部分为用户自行设计。
  • 图中 IP include 部分为 demo 或 IP 提供的。

二、具体性能参数
1、循环周期和抖动

  • 循环周期支持 31.25us、62.5us、125us、250us、500us、1ms、2ms 等。
  • 主站在循环周期 2ms 时抖动为 50us,循环周期越短,抖动越小,从站抖动10ns。

2、支持轴数与 FPGA 资源消耗量

  • 支持 1-32 轴,消耗资源 12K 逻辑 Slice 左右,如用户有
  • 1
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值