systemverilog的标准随机函数std::randomize()

这段文字的意思是: 内置类的 `randomize()` 方法专门作用于类成员变量。使用类来对要随机化的数据进行建模是一种强大的机制,它能够创建通用、可复用的对象,这些对象包含随机变量和约束,之后可以被扩展、继承、约束、覆盖、启用、禁用,还能与其他对象合并或分离。类及其相关的随机变量和约束能够轻松地被操作,这使得类成为描述和操作随机数据及约束的理想工具。然而,对于一些要求不那么高、不需要类的全部灵活性的问题,可以使用更简单的机制来对不属于类的数据进行随机化。范围随机化函数 `std::randomize()` 让用户能够对当前范围内的数据进行随机化,而无需定义类或实例化类对象。

task stimulus( int length );
    int a, b, c, success;
    success = std::randomize( a, b, c ) with { a < b ; a + b < length ; }; 
    ...
    success = std::randomize( a, b ) with { b - a > length ; }; 
    ...
endtask

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值