uvm_info打印

`uvm_info有以下三种格式:

`uvm_info("TRACE",$sformatf("%m"),UVM_HIGH)
`uvm_info("TRACE",$sformatf("port_id value of %0d",port_id),UVM_HIGH)
`uvm_info("TRACE",{"\n",req.sprint()},UVM_HIGH)
        第一个参数是字符串,用于把打印的信息归类。第二个参数也是字符串,是具体需要打印的信息,第三个参数则是冗余级别。冗余级别为UVM_LOW,表示信息非常关键,冗余级别为UVM_HIGH,表示信息可有可无,UVM_MEDIUM介于这两者之间。
——————————————
原文链接:https://blog.csdn.net/qq_55894557/article/details/126549732

  • 9
    点赞
  • 10
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值