Verilog学习笔记——07——相邻16个数相加

相邻16个数相加

image-20211119220556300

image-20211120162904249 

代码

//2023-5-17
//相邻16点相加
`timescale 1ns/10ps
module sigma_16p(
datain,
synin,
clk,
res,
dataout,
synout
);

input[7:0] datain;
input synin;
input clk;
input res;
output[11:0] dataout;
output synout;

reg synin1;
wire synpulse;

reg[3:0] cont;
wire[7:0] comp8;
wire[11:0] d12;

reg[11:0] sigma;
reg[11:0] dataout;
reg synout;
assign synpulse = synin & synin1 ;
assign comp8 = datain[7]?{datain[7],~datain[6:0]+1}:datain;
assign d12={comp8[7],comp8[7],comp8[7],comp8[7],comp8};


always@(posedge clk or negedge res)begin
	if(~res)begin
    synin1 <=0;
    cont <=4'b0000;
		sigma <=0;
		dataout <= 0;
		synout <= 0;
	end
	else begin
		synin1 <= ~synin ;
		if(synpulse)begin
			cont <= cont + 1;
		end
		if(synpulse)begin
			if(cont==15)begin
				dataout <= sigma;
				sigma <= d12;
				synout <= 1;
			end
			else begin
			 sigma <= sigma + d12;
			end
		end
		else begin
			synout <= 0;
		end
	end
end
endmodule
//testbench of sigma_16p
module sigma_16p_tb ;
reg clk;
reg res;
reg synin;
reg[7:0] datain;
wire synout;
wire[11:0] dataout;
sigma_16p sigma_16p(
.datain(datain),
.synin(synin),
.clk(clk),
.res(res),
.dataout(dataout),
.synout(synout)
);

initial begin
	clk <= 0;
	res <= 0;
	synin <= 0;
	datain <= 1;
	#16 res = 1;
	#5000 datain = 8'b0000_0010;
	#25000 $stop;
end
always #5 clk = ~clk;
always #100 synin <= ~synin;
 
endmodule
		
		

仿真波形

 

开始时,输入值为00000001    过5000个时钟单位变为00000010 

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
序列检测器是一种电路,用于检测输入信号序列是否符合某种特定的模式。在Verilog HDL语言中,可以使用状态机来实现序列检测器。以下是一个简单的序列检测器的Verilog HDL代码示例: ```verilog module sequence_detector( input clk, // 时钟信号 input reset, // 复位信号 input data, // 输入数据信号 output reg match // 匹配信号 ); // 定义状态 typedef enum logic [1:0] { STATE_IDLE, // 空闲状态 STATE_S1, // 状态1 STATE_S2, // 状态2 STATE_S3 // 状态3 } state_t; // 定义状态转移表 parameter [3:0] TRANS_TABLE [0:3] = '{4'b0000, 4'b0001, 4'b0010, 4'b0100}; // 定义当前状态变量和下一个状态变量 reg [1:0] state, next_state; // 初始化状态为IDLE initial begin state = STATE_IDLE; end // 定义状态机逻辑 always @ (posedge clk, posedge reset) begin if (reset) begin state <= STATE_IDLE; end else begin state <= next_state; end end // 定义状态转移逻辑 always @ (*) begin case (state) STATE_IDLE: begin if (data) begin next_state = STATE_S1; end else begin next_state = STATE_IDLE; end end STATE_S1: begin if (!data) begin next_state = STATE_IDLE; end else if (data) begin next_state = STATE_S2; end end STATE_S2: begin if (!data) begin next_state = STATE_IDLE; end else if (data) begin next_state = STATE_S3; end end STATE_S3: begin if (!data) begin next_state = STATE_IDLE; match = 1; end else begin next_state = STATE_S3; end end endcase end endmodule ``` 这个序列检测器可以检测输入数据信号是否符合“1101”这个模式。输入数据信号通过data端口输入,匹配结果通过match端口输出。当输入数据信号符合“1101”这个模式时,match信号会被置为1。如果输入数据信号不符合模式,match信号会保持为0。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值