Verilog学习笔记——04——补码转换器、七段译码器

补码转换器示意图

image-20211118135214804

 代码:

//2023-5-17
//补码转换
module comp_conv(
a,
out_1
);

input[7:0] a;
output[7:0] out_1;
reg[7:0] out_1;


always@( * ) begin
	if (a[7] == 0) begin
	out_1 <= a;
end
 else begin
	out_1 <= {a[7],~a[6:0]+1};
 end
end

//assign out_1 = a[7]?{a[7],~a[6:0]+1}:a;
endmodule
//-----testbench of comp_conv----
module comp_conv_tb ;
reg[7:0] a;
wire[7:0] out_1;

comp_conv comp_conv(
.a(a),
.out_1(out_1)
);
initial begin
	a = 0;
	#4000 $stop;//8位字节位0-255,256*15=3840
end

always #15 a <= a+1 ;
endmodule

always语句或者assign语句都可实现功能,但要注意变量是reg还是wire。不定义的话默认是wire

仿真波形

 

七段译码器示意图:

  • 0
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 2
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值