十六进制计数器

目录

描述

输入描述:

输出描述:

参考代码


 

描述

请用Verilog设计十六进制递增计数器电路,每个时钟周期递增1。

电路的接口如下图所示。Q[3:0]中,Q[3]是高位。

接口电路图如下:

 

d33ac59b59b46dcfb76dda6096900b84.png

 

输入描述:

   input                clk   ,
   input                rst_n ,

输出描述:

   output   reg  [3:0]  Q   

参考代码

`timescale 1ns/1ns

module counter_16(
   input                clk   ,
   input                rst_n ,
 
   output   reg  [3:0]  Q      
);
    always @(posedge clk or negedge rst_n) begin
        if (!rst_n) begin
            Q <= 4'b0;
        end else begin
            Q <= Q +1'b1;
        end
    end
endmodule

 

 

  • 7
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

king_machine design

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值