FPGA实现十六进制计数器(附代码)

本文介绍了如何使用Verilog语言设计一个四位十六进制计数器,计数器在每个时钟周期递增1。初始状态下,Q[0]在时钟上升沿反转,后续位在前一位下降沿时翻转。
摘要由CSDN通过智能技术生成

描述

请用Verilog设计十六进制递增计数器电路,每个时钟周期递增1。
电路的接口如下图所示。Q[3:0]中,Q[3]是高位。
接口电路图如下:
在这里插入图片描述
代码如下:

题目的意思应该是设计一个四位十六进制计数器,从0000-1111-0000跳转。初始化后,Q[0]在时钟上升沿反转,其余位在前一位下降沿时翻转,代码如下:

`timescale 1ns/1ns

module counter_16(
   input                clk   ,
   input                rst_n ,
 
   output   reg  [3:0]  Q      
);

    
    always@(posedge clk or negedge rst_n)begin
        if(!rst_n)
            Q[0]<=0;
        else
            Q[0]<=~Q[0];
    end
    always@(negedge Q[0] or negedge rst_n)begin
        if(!rst_n)
            Q[1]<=0;
        else
            Q[1]<=~Q[1];
    end
    always@(negedge Q[1] or negedge rst_n)begin
        if(!rst_n)
            Q[2]<=0;
        else
            Q[2]<=~Q[2];
    end
    always@(negedge Q[2] or negedge rst_n)begin
        if(!rst_n)
            Q[3]<=0;
        else
            Q[3]<=~Q[3];
    end
                
    
endmodule
  • 3
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值