开发crc校验 verilog代码

1.前言

FPGA开发过程中可能遇到CRC校验的开发,如果没有现成的代码,我们如何快速完成开发,这里总结了一个快速的方法。

2.CRC代码开发

这里用到两个网站,

  1. CRC校验工具  格西工具 - 上海格西信息科技有限公司
  2. Verilog代码生成工具OutputLogic.com » CRC Generator

对CRC的原理知识比较熟悉的工程师,可能直接就能编码,这里只针对那些对CRC只是稍微了解的人,以上这两个工具还是十分有用的,在它们的帮助下,花1个小时就可完成开发。

第一步,确认需要用到的公式,可能协议文档描述的已经十分清楚,但对于刚刚了解CRC的人来说,还是没有直观的认识。这里举个例子,协议文档描述如下:

第二步,在CRC校验工具上做验证,下图就是在工具上做的验证。通过验证我们知道了一些影响计算的关键参数。

 第三步:生成参考crc代码,使用第二个网站提供的工具,设置如下:

 设置完成,点击生成,就会有参考的verilog代码,在这个代码的基础上做一些改动,主要需要确认的是,输入输出是否需要翻转,初始值是否为ffff,输出要不要异或ffff。通过仿真得到正确的结果,代码就设计完成了。

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值