自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(45)
  • 资源 (13)
  • 收藏
  • 关注

原创 verilog之定时计数--任意分频、任意宽度脉冲、复位信号产生、置位/清零、PWM波形

verilog之定时计数器用法--分频、复位延时、脉冲展宽、置位/清零Timer的重要性分频器复位延时脉冲展宽置位/清零 SET/RESETTimer的重要性Timer是数字电路的基础,所有器件都是在时钟节拍下工作,由于时钟节拍速率高,FPGA内部可以达到100M,有些低速的设备就需要进行分频处理,这就产生了计数的需求。不同的功能模块速率不同,自然也就需要不同的计数器。分频器分频器的关键是...

2020-01-15 11:43:49 7482

原创 从原理的视角,一文彻底区分MOS MOSFET NMOS PMOS CMOS

从原理的视角,一文彻底区分MOS MOSFET NMOS PMOS傻傻分不清由基础说起MOSFET登场NMOS电路抽象PMOS电路抽象本文为原创作品,转载请注明出处! 如果本文对你有帮助,请记得回复个好评,增加我继续分享的动力,呵呵。傻傻分不清我的工作是硬件工程师,刚工作那会,遇到用MOS管的场合一般是直接从其它原理图中拷贝MOS管的图标,工作多年后经常分不清S极、D极,搞不清NMOS和PM...

2019-07-19 13:56:11 33215 17

原创 带参数传递的Verilog模块设计

在Verilog设计中,模块化有利于提升代码的可重用性,从而提升设计的效率以及可阅读性。有时候同一个模块在不同的地方被引用时,可能需要配置不同的参数值。为了实现这一目的,我们可以在子模块中采用“parameter” 来定义。下面举个例子:计数器做为子模块,负责计时。LED模块来调用计数器模块。首先我们创建一个counter.v,带参数的变量 parameter CNT_MAX = 25’d24_999_999; module counter(Clk,Rst_n,led); inp

2021-11-30 10:14:28 2421

原创 Linux 驱动开发之helloworld模块编译、交叉编译

编译是在本地运行、交叉编译是在嵌入式板卡上运行,这个两个编译过程的区别仅仅是Makefile的差异。本文是在Vmware虚拟环境下,Ubuntu系统里进行的测试。一、创建C文件首先创建一个空的文件夹,创建hello.c文件,文件内容如下:#include <linux/init.h>#include <linux/module.h>MODULE_LICENSE("Dual BSD/GPL");static int hello_init(void){printk(

2020-10-29 15:17:41 8597

原创 Altera MAX II 工程移植到 MAX V上编译出错

现在MAX II 系列的CPLD逐步停产,很多工程需要移植到MAX V系列上,最关键,MAX V系列的价格还很美丽。MAX V系列器件相比于MAX II最大的特点是核心电压降低到1.8V,电压低有利于降低功耗,提升时钟频率。在工程迁移的过程中,器件重新选择、引脚重新分配后,会报如下编译错误:Error (21191): Supply voltage value 2.5V set to the 'VCCINT' power rail is illegal for the selected device.

2020-10-27 15:11:05 5582 2

原创 iMX6q Linux 交叉编译环境搭建

添加 apt-get update 国内源sudo vim /etc/apt/sources.list添加阿里服务器deb http://mirrors.aliyun.com/ubuntu/ xenial maindeb-src http://mirrors.aliyun.com/ubuntu/ xenial main deb http://mirrors.aliyun.com/ubuntu/ xenial-updates maindeb-src http://mirrors.aliyun.

2020-10-22 15:07:03 7805

原创 如何设置VMWare上虚拟机的IP地址

在做嵌入式linux开发时,通常情况下,我们会从别人手上拷贝一个虚拟过来,因为上面已经搭建好了交叉编译环境。很多时候自己搭建环境也是一件痛苦的事。在不做网络参数修改的情况下,拷贝过来的虚拟机网络是不通的,下面就说一下如何修改IP。我们在PC上,一般是windows桌面环境,安装好VMware后(建议安装workstation full版本),VMware软件会自动设置虚拟网卡地址,我们可以不做改动。下面几步就比较关键了。1 VMware的主页点击编辑,然后点击里面的虚拟网络编辑器。2. 然后在

2020-10-22 14:44:31 6619

原创 《UNIX环境高级编程》第三版例程学习笔记及问题汇总

问题索引1. 源码下载2. fatal error: apue.h1. 源码下载http://www.apuebook.com/code3e.html2. fatal error: apue.h示例一是myls.croot@ubuntu:/# cc myls.cmyls.c:1:18: fatal error: apue.h: No such file or directory提示这个错误说明没有找到apue.h这个文件,此时找到从第一步下载的源码,解压,然后把include下的a

2020-09-23 17:53:26 579

原创 LS1028A make 错误 sudo apt update 错误: Error in `appstreamcli‘

在安装LS1028A的 OpenIL(open industry linux) 环境时遇到错误,NXP官方的操作流程:$ git clone https://github.com/openil/openil.git$ cd openil$ git checkout OpenIL-201908 -b OpenIL-201908$ make nxp_ls1028ardb-64b_ubuntu_defconfig$ make遇到这个错误./bootstrap: 4: ./bootstrap: a

2020-09-14 16:49:50 728

原创 VIVADO+ZYNQ7000入门四,PS与PL的AXI总线通信

快速索引目标预备知识工程实例目标你好!预备知识我们工程实例创建一个空白工程,创建过程可以参考这里https://blog.csdn.net/malcolm_110/article/details/107222944Creak block design添加zynq block添加一个AXI_GPIO模块添加点击自动布线在弹出窗口中打勾效果如下,我们可以看到系统会自动添加了一个AXI转换器,一端连接到了ARM核,一端连到了AXI的设备上,我们这里用的是

2020-09-11 18:18:02 6986 1

原创 CSDN markdown 快速插入复杂表格的方法

网上有教程提到,要把表格上传到一个在线格式转换网站,其实没必要这么复杂,简单几步搞定。首先用Excel创建表格,上方不要留空行,左侧不要留空列。其次选择文件另存为网页格式,注意下方有个选项,选择重新发布最后打开保存的网页格式文件,在网页空白处点击鼠标右键,选择查看源码,在源码中复制从<table> 到 <\table> 之间的内容,粘贴到 markdown编辑器就可以啦。...

2020-09-03 16:04:19 1120

原创 什么是Makefile,以及uboot 的 makefile 语法分析(一)

1、为什么要用 Makefile?2、Makefile的编写规则。3、Makefile常用的函数。1、为什么要用 Makefile?很久很久以前,人们不用 Makefile,生产一个程序,就只能手动敲命令。一开始,程序很小很小,只有一个文件,只需要在shell里敲上: gcc main.c -o main后来,程序越来越大,有非常多的文件,需要敲入这个: gcc main.c 1.c 2.c 3.c ... ... ... -o main每次修改某个文件,都需要重

2020-09-03 12:52:54 647

原创 Uboot 编译失败问题

编译失败问题汇总索引一、已经有uboot源码,并且有 build.sh 的情况。一、已经有uboot源码,并且有 build.sh 的情况。首先Makefile 没有配置编译器,导致的错误,错误提示信息如下:cc1: error: bad value (armv5) for -march= switchMakefile:924: recipe for target 'u-boot.cfg' failedmake: *** [u-boot.cfg] Error 1cp: cannot stat

2020-09-02 18:27:13 3227

原创 VIVADO+ZYNQ7000入门三,PS与PL的联合开发

索引一、目标二、预备知识二、工程实例三、SDK开发四、下载运行一、目标熟悉PS的底层硬件控制、熟悉PS与PL联合开发。二、预备知识我们从Xilinx的官方文档UG585的第二章可以了解到,PS与PL数据交换主要就是通过AXI总线,此外还有时钟/中断、DMA等控制信号接口。详细的各部分功能框图如下。UG585的第四章可以看到ZYNQ上ARM核的地址空间分配情况。第14章可以了解到如何配置、读写EMIO。二、工程实例空白工程的创建请参照VIVADO+ZYNQ7000入门一博文。工程建立好

2020-09-02 09:50:53 5406

原创 VIVADO+ZYNQ7000入门二, 第一个PS程序,从创建到运行

空白工程的创建请参照上一篇博文VIVADO+ZYNQ7000入门一, 第一个PL程序,从创建到运行PS系统在Vivado的架构下被当作一个IP core来调用,接下来我们先准备一个具备简单功能的PS系统,在新建工程窗口的最左侧,如下图所示,点击1,弹出窗口中,在2的位置输入IP的名称,然后点击OK在弹出的新窗口中点击1处的图标,在2的位置输入zy搜索,可以看到ZYNQ的处理器系统block,双击。稍等片刻,zynq的模块图标展现在我们面前!双击它,目的是订制一些接口功能以及参数。接下来的工作

2020-09-01 10:24:50 2909

原创 ARM交叉编译器gnueabi、gnueabihf 以及安装配置,helloworld测试

如果我们需要编译一个运行在X86架构上的helloworld程序,只需要在linux系统下执行gcc helloworld.c -o helloworld如果要在X86主机上编译一个在ARM系统上运行的程序,就需要用到交叉编译器。1. 编译器命名规则:交叉编译工具链的命名规则为:arch [-vendor] [-os] [-(gnu)eabi]arch - 体系架构,如ARM,MIPSverdor - 工具链提供商,如飞思卡尔提供的工具简称fsos - 目标操作系统,如linuxeabi -

2020-08-27 09:06:55 4015

原创 Beyond Compare 4 过期河蟹方法大全

网上有很多方法是针对安装版本的,我用的是免安装版,里面有个Patch.exe,但执行没有反应。当然,注册表里肯定找不到相关项,因为我是直接解压后就使用了。后来我发现,直接把解压文件夹删除,再解压一次就可以了,只有等30天后再验证一下更改BCUnrar.dll 名称的方法了。下面是其它链接各种方法。一、更改BCUnrar.dll的文件名,比如重命名为BCUnrar1.dll二、如果是安装版本,删除注册表项点击键盘windows图标+R,1、在搜索栏中输入 regedit ,打开注册表2、删除

2020-08-13 16:58:15 732

原创 Verilog 常用操作符,以及$display系统函数 ModelSim 仿真

一、算术操作符 Arithmetic OperatorsOperatorDescription+Add-Minus*Multiply/Divider

2020-08-04 15:14:10 2680 1

原创 UltraEdit 高亮显示设置方法以及简单使用教程

UltraEdit 版本: 23.20.0.28首先从官网下载wordfiles 文件https://www.ultraedit.com/downloads/extras/wordfiles.html保存路径为安装文件的wodfiles文件夹,比如我的安装路径:D:\Program Files\IDM Computer Solutions\UltraEdit\wordfiles打开UltraEdit,进行路径设置下图中第3步需要留意,默认路径并不是软件的安装路径,需要更改为你自己的安装路径

2020-08-03 15:53:16 7423 2

原创 从原理的视角,一文彻底弄懂FPGA的查找表(LUT)、CLB

我学东西有个特点,喜欢从原理的层面彻底弄懂一个知识点,这几天想弄明白FPGA的查找表,但发现很多博文写的很模糊,看了以后仍然不是很明白。当然,可能是作者自己弄懂了,但没有站在新人的角度来详细的解释。通过多方资料查找,终于把原理彻底搞懂,在此记录一下吧。如果朋友看了我的文章后,仍然不明白的,欢迎随时交流。背景知识我最早接触逻辑门是在初中物理的一堂课上,当时课本的小知识栏讲了一个家用自动晾衣架,原理挺简单,就是晚上或者下雨天晾衣架可以自动收回。当时觉得这种与非门电路太奇妙了!假如我们用A表示白天,用A‾\

2020-07-10 17:14:17 26312 17

原创 VIVADO+ZYNQ7000入门一, 第一个PL程序,从创建到运行

学习FPGA开发是普通硬件工程师往资深硬件工程师发展的必经之路,随着芯片的集成化越来越高,传统硬件工程师可以做的工作越来越少,是不是硬件工程师就没有前途了?随着FPGA的集成度越来越高,以及应用市场对于大数据量/大运算量的需求与日俱增,ARM+FPGA的集成路线会成为未来的芯片发展的主流趋势。掌握这种异构平台的开发技能也是硬件工程师提升自身能力的途径之一。先从一个简单的Verilog程序入手吧,今天的主要任务是熟悉vivado的操作流程。工程创建vivado软件的安装本文就不介绍了,下面假定你已经在

2020-07-09 11:40:19 3410 3

原创 Arduino Nano + SSD1306 OLED 进阶(一)( 打砖块游戏)

硬件搭建:Arduino Nano,SSD1306,6个按键,三个指示灯,一个蜂鸣器。库文件:Arduboy2

2020-07-08 17:50:17 2136 1

原创 Arduino Nano + SSD1306 OLED 进阶(二)( 时钟设计-带动画效果DS3231)

硬件组成Arduino Nano 或 UNO, SSD1306 I2C总线,DS3231模块。外加两个按键,用来设置时钟和日期,一个蜂鸣器。根据自己的板子可以调整按键的连接位置。本文例程使用Adafruit_SSD1306 库文件。使用 Adafruit_SSD1306 库文件的时候,需要注意两点:一是编译的时候可能提示如下错误:Line 53 and 54 #if (SSD1306_LCDHEIGHT != 64) and # error (“Height incorrect, plea

2020-07-02 17:15:41 5256 5

原创 作为程序猿的你,几个绝对不能错过的Linux 有趣指令

No.1 sl相信ls命令每个人都用过,可是如果不小心在linux的命令行中输入sl会有什么效果呢 ?当然可能是这个效果,那我们安装一下试试吧。mgm@ubuntu:~$ slThe program 'sl' is currently not installed. You can install it by typing:sudo apt install sl嗯? 提示错误mgm@ubuntu:~$ sudo apt-get install slE: Could not get lock

2020-07-02 15:48:31 22643 17

原创 Arduino Nano 驱动OLED测试入门(四)--SSD1306如何显示位图、如何让图片动起来

经过前面的三个入门小知识,相信大家都已经熟悉如何操作OLED的显示了,其实对于其它分辨率的显示屏,主要的是更换对应的宏定义,主程序内容基本上一致的。SSD1306基本操作不熟的,可以进入下面三个传送门。Arduino Nano 驱动OLED测试入门(一)Arduino Nano 驱动OLED测试入门(二)Arduino Nano 驱动OLED测试入门(三)本文讲解一下如何显示一个位图,以及如何让我们的位图动起来。首先从网上下载一个小图标,通过电脑自带的画图软件,把图片的分表率调小,肯定不能超出屏

2020-06-29 09:34:16 4900 2

原创 Arduino Nano 驱动OLED测试入门(二) U8glib 库函数及参数讲解

以下内容装载自 http://www.geek-workshop.com/thread-10634-1-1.html (微排版)U8glib库函数,有一些描述不正确,因为是直接翻译过来的,欢迎提意见。另外我觉得U8G2库比它更强大,同样支持1306控制芯片。正在研究中,到时候再发出来。----------------------------------------------------------目录------------------------------------------------

2020-06-23 14:05:43 6840 2

原创 Arduino Nano 驱动OLED测试入门(三)(SSD1306仪表盘设计)

本来介绍如何在Nano上面,通过SSD1306设计一个仪表盘SSD1306基本设置请参照下面的链接Arduino Nano 驱动OLED测试入门(一)(软IIC以及硬IIC链接SSD1306屏)SSD1306库函数参数讲解参照下面的链接Arduino Nano 驱动OLED测试入门(二) U8glib 库函数及参数讲解本文通过一个可调电位器,设计一个电压仪表盘。屏的连线方式请参照入门(一),电位器三端,一端接地,一端接3.3V,另一端接Nano的模拟输入端A1 引脚。程序中定义了三个变量,p

2020-06-23 13:51:05 3067

原创 一步一步把 Arduino Nano 电路移植到自己的板子上

自从Arduino问世以来,极大地带动了全球创客的发展,Arduino的开发环境集成了众多库文件,让程序编写异常简单。所以把 Arduino 的电路移植到自己的板子上也是一个不错的选择。本文就已Arduino Nano为例介绍一下从硬件原理图移植到固件烧写的过程。1. 原理图设计Arduino Nano的原理图网上较多,在CSDN的下载频道可以找到AD格式的原理图、PCB。Nano的板子原理图相对简单,问题不大,重点留意保留ICSP编程接口,板子做好后烧固件用。另外需要根据自己产品的行业特点,选择不同

2020-06-12 11:22:17 4256 1

原创 ARM汇编指令总结--英文全称及功能描述

什么是指令集在计算机的世界里,CPU只能识别二进制的机器码,对于一个32位ARMv7架构来说,每一个指令由32个0或1的组合组成,CPU的设计者会指定一组固定的组合格式,告诉CPU完成什么动作,这组固定格式的0或1的组合就是指令集。对于程序员来说,阅读二进制的机器码是一个相当大的挑战,为了便于编写程序,最早的汇编语言就诞生了,比如ADD R0,R1,R2这句话的的作用是寄存器R1、R2里的值求和后,存储到R0里面。汇编语言通过编译器的编译,转换成CPU能够识别的二进制机器码。ARM指令集版本历史

2020-06-05 17:14:58 2438

原创 Cadence 原理图拷贝出错问题解决办法(本文用到的16.6版本)

之前经常遇到原理图拷贝出错的问题,由于时间紧,没有细致研究解决办法,居然采用分块拷贝再重新整理的办法,极大地影响了效率,今天有时间慢慢地尝试着找出解决问题的途径。1. 从某个项目的某页,拷贝一部分原理图到新项目中,提示:unable to perform paste. some objects on the clipboard were not valid in this schematic这种情况的处理办法是,在你的新项目中右键点击Design cache,然后选择 Cleanup Cache。如

2020-06-02 11:18:50 14293

原创 Pspice 电路仿真入门 -- RC时间常数分析 时域仿真、频率响应仿真

人的记忆力有时不可靠,特别是对于上了岁数的人来说。以前做过电路仿真,如果长时间不仿真,很多操作容易忘记。电路仿真对于硬件工程师来说至关重要,仿真可以帮我们从直观上建立电路特性,可以在以后的工作中,对电路故障迅速定位其根源。首先仿真一个时域中的一阶RC低通电路。软件选用cadence16.6,网上有吴川斌老师分享的一键安装版,破解都不需要自己动手了,相当有爱心的软件包。打开软件后,依次点...

2020-04-26 15:51:30 10992 1

原创 电阻阻值标准以及选择参照表

毕业那年找工作的时候,开始聊得都挺好,突然有个面试官问我,电阻阻值有哪些,当时我就懵了,心里想难道电阻阻值不是任意的吗 ?然后我就被据了。工作后我才知道,原来电阻有电阻的标准,电容有电容的标准,甚至连导线都有导线的标准。总而言之,任何产品都有标准!电阻的标准最早主要是美国的EIA制定的,在阻值的标准制定上主要有如下几个标准,一是误差范围,以前工艺差,做出来的产品可能有20%的误差,比如你选一个...

2020-03-26 16:13:53 5488

原创 cadence Orcad 元器件编号不断增大的问题

我用的版本16.6,其它版本请自行验证。最近遇到一个小问题,但有点头疼,就是拷贝电阻电容的时候,器件编号不断地增大,明明前面有很多空余。网上找到个办法,时间一长又忘记了,当时参考的网址也找不到了,最近自己反复试验,终于找到了解决办法,在此记录一下,免得又忘记。点击option-Preferences在打开的串口中,选择Miscellaneous,在此选项中找到下图中红线标识的地方,...

2020-03-04 15:59:07 3306 1

原创 【从原理的视角】傅里叶级数以及三角表达式、虚指数表达式,Matlab仿真程序

傅里叶变换是电子信息类专业的核心课程,是很多大学生的噩梦课程,傅里叶变换的思想是现代电信科技的数学基础,贯穿了信号处理、运动控制、图像处理、电路分析以及光学等众多领域。如此重要的数学理论思想,无论是学生还是从事技术工作的人都有必要深刻领会。写此文的目的一是基于自己当年没有学懂,工作后回过头来再看,有种恍然大悟的感觉,二是找个地方做个笔记,方便以后查看学习。程序演示再次重新学习傅里叶变换是基于斯...

2020-02-16 21:34:36 4559 3

原创 Quartus II assignment 引脚分配窗口关闭后如何打开

Quartus II assignment 引脚分配窗口关闭后如何打开意外关闭如何打开意外关闭如何打开今天用Qartus II 的时候遇到一个棘手的事,网上、群里都找不到答案。我的版本是13.0,遇到的现象是不小心把引脚分配窗口关闭了,找了很久不知道如何打开。正常情况打开Assignment – Pin Planner 弹出如下窗口:不小心把下面分配引脚的窗口关闭后,如下图所示:找了...

2020-01-13 16:49:58 6087 3

原创 LocalBUS总线读写寄存器的Verilog代码实现(二)-inout型双向总线Testbench的编写

LocalBUS总线读写寄存器的Verilog代码实现(二)-inout型双向总线Testbench的编写Testbench 注意事项Teshbench 源码仿真波形Testbench 注意事项在本例中,testbench 的难点在于如何对双向信号进行仿真,通过查找资料,我找到用下方法来实现inout型信号的仿真。reg [7:0]BMD$inout$reg;wire [7:0]BMD =...

2020-01-13 15:59:25 2875 3

原创 LocalBUS总线读写寄存器的Verilog代码实现(一)

LocalBUS总线读写寄存器的Verilog代码实现(一)应用背景注意事项应用背景在FPGA内部,经常需要实现一些寄存器功能,这些寄存器可以通过外部的localbus并行总线或其它串行总线来访问。本文所设计的模块先考虑并行情况,针对串行总线的情况,需要增加另外的串转并模块,请参阅其它博文。模块所涉及的信号主要是地址、双向数据、片选、读、写,用到的知识就是数字电路里的译码器,地址总线上送来的...

2020-01-11 11:41:14 7412 1

原创 CPLD 闲置引脚配置以及引脚的第二功能设置

欢迎乐于分享的工程师加入我的电子设计开发交流QQ群:552564745闲置引脚配置在CPLD做辅助功能的系统里,CPLD中那些没有使用到的引脚一定要记得设置为一个固定状态,否则的话可能给主系统引入很大的不确定性。有时候由于设计初期的疏忽,后期调试时,为了排查某个问题可能会出现方向偏差,浪费很多调试时间。甚至有些情况下,CPLD引脚电平混乱会导致主系统启动失败,这时候调试起来更加费力。所以对于...

2019-11-25 10:19:50 4093

原创 彻底搞定数字逻辑电平TTL、CMOS互相驱动问题以及74系列选型指导

原创文章,转载请注明出处!在硬件电路设计过程中,最长遇到的基础问题就是各种逻辑电平匹配,74系列逻辑电路选型的问题。我们知道74系列有很多门类,比如74LS00、74HCT00、74LVC00等等,这些LS、HCT、LVC代表什么意思呢?互相之间能不能连接呢?网上以前也看到过有人总结,什么COMS驱动TTL可以,反过来不可以之类的描述,内在原因是什么?下面我就依据自己的总结慢慢道来。74系列逻...

2019-10-31 11:03:13 4023

原创 Arduino Nano 驱动OLED测试入门(一)(软IIC以及硬IIC链接SSD1306屏)

需要的准备工作硬件面包板一个, Arduino Nano板, SSD1306的OLED屏(本文采用I2C接口)一个,线材若干库文件u8glib ,U8g2 两个库,如果没有的话可以在CSDN里下载。连接(硬IIC接口)ArduinoOLED3V3VCCGNDGNDA5SCLA4SDA连接(软IIC接口)如果I2C需要共用的情况下,...

2019-09-26 17:01:29 16520 3

LS1028ARDB_RM_RevB.pdf

NXP QorIQ架构系列,LS1028A 官方参考板技术手册,该CPU特色是支持TSN同步网络。 ARM A72内核

2020-09-07

LCD BMP CVT.rar

压缩包里三个小程序,单个图片转成16进制数组,批量图片格式转换程序,批量位图转16进制数组程序。都是国外共享小软件,没有广告烦扰。

2020-06-28

Pusheen_Clock_Generic_v1.3.zip

基于Arduino + SSD1306 + DS3231硬件平台,设计的一款时钟程序,带动画效果。 可以提升学习编程的乐趣

2020-06-24

Quartus II Programmer Setup.rar

Quartus II 独立的烧录软件,只有130多M大小,无需破解,可供生产用。 13.0.0.156版本。支持pof、sof、jic等多种文件格式。

2020-06-01

sinesum2.zip

斯坦福大学EE261课程配套的Matlab程序,用来演示多周期三角函数叠加分析。 最早为Osgood教授编写,后来有个学生进行了升级,现在为版本2。

2020-02-16

Arduino针对少儿编程Scratch所做的可视化软件Scratch for Arduino (S4A)

Arduino针对少儿编程Scratch所做的可视化软件, 方便小朋友们学习Scratch的同时,练习动手实操能力。

2019-07-12

无需破解小身材大身手的串口调试工具 teraterm-4.84.exe 带CR、LF功能

安装简单、无需注册、无需破解,支持脚本,自带回车换行功能。免除代码输入r\n\,字符。已调低价格,欢迎下载。

2019-07-09

TDC-GP22-master.zip

基于Aduino Due平台,TDC-GP22激光测距示例代码,通过SPI接口访问TDC-GP22

2019-06-26

TDC-GP22-激光测距仪应用指南及示例代码.pdf

详细描述了TDC-GP22的性能、寄存器配置、数据校准等功能。 文档最后给出了TDC-GP22的示例源码。

2019-06-26

Frequency Response and Bode plots.pdf

文档简要描述了系统的频率响应函数特性,包括函数的零点、极点特性。 介绍了基于对数函数的波特图画法,通过本简短的文档,可以弄清楚波特图是如何绘制的。

2019-06-06

Fundamentals of Electromagnetics for Electrical and Computer Engineering_电磁场工程基础

作者:Nannapaneni Narayana Rao ,伊利诺斯州大学退休教授经典教材,印度裔。美国电子工程、计算机工程专业核心教材。 国外教材不同于国内教材,本书内容环环相扣,行云流水般的说明性文字易于理解。本书不同于其它电磁场理论教材从场论推导电磁场波动方程,作者从传统的向量积分出发,浅显易懂的推导出电磁场波动方程。进而探讨了电磁波空间传输特性、与物质的相互作用。推导了物质的特性阻抗,物质在不同频率电磁波作用下的效应。探讨了如何产生电磁波,以及天线理论入门。极力推荐硬件工程师阅读,对于提升专业素养有极大的帮助,理解EMC、EMI的必备课程。

2019-04-08

Digital Systems Engineering.pdf_数字系统工程

MIT经典教材,作者:William J.Dally , John W. Poulton .本书从工程实现的角度分析了高速电路系统原理。对于硬件工程师了解IC、PCB高速等效电路有极大的帮助。 在高速电路中,导线被等效成电阻、电感、电容模型,在不同的应用中做了相应的简化。从中不但可以获得阻抗匹配,线宽、线距,走线拓扑的理论依据, 还可以明白高速情况下,电路失效应该如何分析以及如何去避免,增强芯片设计、PCB设计的稳健性。

2019-04-08

Foundations of Analog and Digital Electronic Circuits.pdf 模拟数字电路基础经典

MIT 电路经典教材,作者Anant Agarwal and Jeffrey H. Lang

2018-04-02

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除