13.FPGA_Verilog 按键消抖之按下检测

本文介绍了如何使用Verilog在FPGA中实现按键消抖功能,通过设置10ms的抖动时间窗口,当按键信号(key_in)持续高电平10ms后,标志位(flag)拉高,确保在消除抖动后准确检测到按键按下状态。
摘要由CSDN通过智能技术生成

描述: 按键抖动5ms之内,设10ms为抖动时间。cnt控制:key_in为高的时候cnt为0, key_in为高的时候cnt计数,cnt到10ms后保持,在10ms-1时flag拉高。flag信号即为按键消抖之后的信号。

时序图:
这里写图片描述

module  key_disappears_shakes(

input       wire                sclk                ,
input       wire                rst_n               ,
input       wire                key                 ,

output      reg                 flag            

);


reg                 [18:0]      cnt                 ;


always@(posedge sclk or negedge rst_n)
if(!rst_n)
    cnt             <=          0                   ;
  • 2
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值