FPGA实验-Verilog语言-独立按键延时消抖

最近在学习FPGA,用的别人的一块Altera的Cyclone IV的板子,要学的东西太多了,偶尔写篇博客督促自己。

这个程序是独立按键的延时消抖,每次按键按下,使板载的4颗LED状态发生反转,原理很简单。

//******************************************
//独立按键,延时消抖,控制LED点亮与熄灭
//Author : HuaSir
//Date   : 30,October,2020
//******************************************
module test(
    input sys_clk,      //系统时钟
    input sys_reset_slk,//系统复位时钟
    input key,          
    output reg[3:0] led //四个LED,板载上4个LED
);
reg key_reg;            //保存上一个键值
reg key_flag;           //输出按键按下有效位
reg [31:0] count;       //计数寄存器
//按键检测,消抖
always@(posedge sys_clk or negedge sys_reset_slk)begin
    if(!sys_reset_slk)begin
        key_reg <= 1;
        count <= 0;
        end
    else begin
        key_reg <= key;             //寄存键值
        if(key_reg != key)          //与上一次的键值对比,发生跳变
            count <= 32'd100_0000;  //赋初值,50MHz晶振下,100_0000个时钟周期是20ms
        else begin
            if(count > 0)
                count <= count - 1; //递减
            else
                count <= count;     //当计数器递减至0时,停止计数
        end
    end
end

always@(posedge sys_clk or negedge sys_reset_slk)begin
    if(!sys_reset_slk)begin
            key_flag <= 0;
        end
    else begin
        if(count == 1)
            key_flag <= 1;  //计数器递减至1,表示消抖结束
        else
            key_flag <= 0;  //key_flag输出一个周期的高电平
    end
end
//**************************************************
//**                    main code
//**************************************************
always@(posedge sys_clk or negedge sys_reset_slk)begin
    if(!sys_reset_slk)begin
        led <= 4'b0000;        //系统复位后,LED全部熄灭(高电平点亮)
        end
    else if(key_flag && (!key))//key_flag和键值综合表征是否按下,消抖结束后按键为0,说明按键有效
        led <= ~led;           //LED取反
    else
        led <= led;
end
endmodule

  • 3
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 1
    评论
Verilog按键消抖的实现可以通过以下步骤进行: 1. 首先,了解按键抖动的原因和消抖的原理。按键抖动是指在按下或释放按键时,由于机械性质或电气性质的原因,导致按键信号出现多次变化的现象。消抖的原理是通过延时和状态判断来确定按键的有效状态。 2. 在Verilog中,可以使用状态机的方式来实现按键消抖。首先,定义一个状态机的状态,包括按键未按下、按键按下、按键释放等状态。然后,通过检测按键信号的变化来切换状态。 3. 在状态机的每个状态中,可以设置一个延时计数器来延时一段时间,以消除按键抖动。在延时结束后,再次检测按键信号的状态,如果仍然保持一致,则认为按键有效。 4. 根据具体需求,可以在按键有效时执行相应的操作,比如控制LED的亮灭。 综上所述,Verilog按键消抖的实现可以通过状态机和延时计数器来实现,具体的代码实现可以参考引用\[1\]中提供的文章结尾的示例代码。 #### 引用[.reference_title] - *1* [FPGA学习-Verilog实现独立按键消抖](https://blog.csdn.net/qq_46490027/article/details/123338108)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^control_2,239^v3^insert_chatgpt"}} ] [.reference_item] - *2* [Verilog中按键消抖检测的实现](https://blog.csdn.net/CLL_caicai/article/details/105159165)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^control_2,239^v3^insert_chatgpt"}} ] [.reference_item] - *3* [Verilog实现按键消抖](https://blog.csdn.net/m0_54218263/article/details/121328750)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^control_2,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

nwsuaf_huasir

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值