1.FPGA_Verilog 语法基础之变量类型定义

变量类型定义:
wire : 线型

        reg : 寄存器型

输入一定为线型,可以省略,只要没有定义类型的变量一定是线型

输出的变量可以是线型,也可以是寄存器型,由实际需求决定。

always或者initial里的变量必须定义为reg

如果使用assign赋值必须定义为线型

仿真文件无输入输出,均为内部变量

  • 2
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值