自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(12)
  • 收藏
  • 关注

原创 idelay用法

这里以Artix-7芯片为例,使用200M时钟延迟,IDELAY_VALUE可以设置0~31个,如果设置为0默认为600ps,每个tap为78ps。IDELAY_VALUE设置为31最大值,可以看到延迟了3.018ns也就是3018ps,计算方式为600ps+78*31=3018ps。IDELAY_VALUE设置为0时,可以看到输入的clk_50M输出为clk_50M_buf时钟被延迟了0.6ns,也就是600ps。可以看到Artix-7下面有两个原语,都复制我们的仿真文件里。两个原语复制到仿真文件里。

2023-01-01 17:53:09 1099

原创 ZedBoard教程PS篇(6):搭建VDMA显示通路,通过VGA输出显示图片

因v_tc产生的时序和vga时序有所不同,所以在顶层需要对hs和vs进行处理,并且输出数据需要使用vid_active_video信号进行使能。在src下重新加入1080p.c图片数据文件和新的hello_world.c文件,hello_world文件为vdma的配置。生成的BOOT.bin文件,将生成的BOOT.bin文件拷贝到SD卡里运行。hello_world文件代码,这里代码参考虚无缥缈vs威武的博客。新建vdma_vga工程,选择刚刚新建的fsbl_bsp。新建的vdma_vga工程。

2022-10-13 22:33:16 548 4

原创 ZedBoard教程PS篇(5):XADC测量输入电压

因测量的电压最大1V,测量的电压是3.3V,使用5个1K电阻分压,也就是3.3/5 = 66mv左右,外接的线路板如下图连接。工程建立可以参考hello_world工程,这里调用zynq核进行配置,配置完成后如下图所示。理论计算差不多66mv,实际打印71mv也差不多,毕竟1K的电阻也是有误差的。开发板上单运行,连接串口设置波特率为115200,使用putty打印输出结果。xadc和zynq配置完成后进行自动连接,自动连接后如下图所示。生成顶层文件和生成bit文件后如下图所示。打开sdk后,新建fsbl。

2022-10-07 17:30:19 2187 2

原创 ZedBoard教程PS篇(4):EMIO的使用

在zynq中分为MIO和EMIO,MIO是arm端的管脚,而EMIO是将arm端的管脚使用fpga管脚来进行扩展,本章主要使用EMIO来点亮LED灯。再次点击New-->applicationProject,新建一个hello_world工程。EMIO0~EMIO63一共64个管脚,这里只控制FPGA侧的LED一共八个,硬件连接如下图所示。点击New-->applicationProject新建fsbl。新建hello_world工程,点击Next。新建工程后,调用zynq核。......

2022-07-28 22:17:52 987

原创 ZedBoard教程PS篇(3):MIO的使用

从上图中可以看到MIO0~MIO53一共54个管脚,EMIO0~EMIO63一共64个管脚,下面开始新建工程,新建一共mio_led工程(如果不会新建请参考hello_world这章)在zynq中分为MIO和EMIO,MIO是arm端的管脚,而EMIO是将arm端的管脚使用fpga管脚来进行扩展,本章主要使用MIO来点亮LED灯。再次点击New-->applicationProject,新建一个hello_world工程。如果使用多个mio管脚,为了不干扰其它的管脚,最好先取值然后相或相应的位。......

2022-07-28 21:54:52 710 1

原创 ZedBoard教程PS篇(2):debug调试和qspi下载以及启动

开发板环境:vivado2017.4开发板:Zedboard 芯片型号:xc7z020clg484-1本章节主要使用上个hello_world工程,在SDK中演示debug在线调试和qspi下载以及启动打开SDK 开发板打到jtag启动模式,并且连接USB-JTAG和串口UART,这里演示debug在线调试 右击hello_world-->Debug As -->Debug Configurations 双击GDB双击GDB后会自动添加hello_world Debug 在下拉对话框中选择Reset Ent

2022-07-08 22:30:00 868

原创 ZedBoard教程PS篇(1):新建hello_world工程

开发板环境:vivado2017.4开发板:Zedboard 芯片型号:xc7z020clg484-1本章涉及到使用PS端资源,配置zynq核后编写sdk代码,然后通过串口打印输出1.打开vivado2017.42.点击Create Project 新建工程,点击Next3.填写工程名称和工程存放路径,点击Next 4.选择Boards,并且选择官方的zedboard zynq,点击Next点击Finish,完成工程创建 新建的hello_world工程 5.点击Create Block Design点击

2022-07-04 18:55:11 1219

原创 ZedBoard教程PL篇(5):模式设置

开发板环境:vivado2017.4开发板:Zedboard 芯片型号:xc7z020clg484-1这篇主要记录一下zedboard开发板的模式设置从上面这个图可以看出,开发板有三种模式JTAG,QSPI,SD卡,从图可以看出三种模式基本取决于MIO4和MIO5这两个管脚的设置开发板跳线帽原理图JTAG启动模式QSPI启动模式SD卡启动模式 以上是zedboard开发板的三种启动方式的设置...............

2022-06-13 20:54:59 773

原创 ZedBoard教程PL篇(4):hdmi芯片AD7511输出显示彩条

开发板环境:vivado2017.4开发板:Zedboard 芯片型号:xc7z020clg484-1本章主要使用HDMI芯片AD7511输出显示彩条可以从上面这个图可以看出整个工程大致工作原理,以v-tc核产生hdmi驱动的时序,v-tc产生的是RGB时序和hdmi输入的波形有出入,所以需要将hsync和vsync处理一下其中hdmi核为官方提供的ip,hdmi核也提供了ad7511的iic接口配置,按不同的按键可以切换不同的颜色V-TC模块按键检测模块顶层模块时序约束硬件hdmi

2022-06-13 20:54:04 1477 8

原创 ZedBoard教程PL篇(3):vga显示彩条

开发板环境:vivado2017.4开发板:Zedboard 芯片型号:xc7z020clg484-1本章主要使用用verilog编写一个vga程序,然后使用vga接口显示一个彩条VGA接口硬件连接 VGA程序代码 VGA代码参考 虚无缥缈vs威武的,只是改了部分代码约束文件vivado工程截图​ 开发板硬件连接 ​ VGA显示效果.........

2022-06-04 14:14:15 383

原创 ZedBoard教程PL篇(2):按键检测

开发板环境:vivado2017.4开发板:Zedboard 芯片型号:xc7z020clg484-1本章主要使用用verilog编写一个按键检测程序,按一次按键LED亮一次,依次点亮八个LED灯按键工程按键检测代码`timescale 1ns / 1ps//////////////////////////////////////////////////////////////////////////////////// Company: // Engineer: //..

2022-05-30 22:21:50 582

原创 ZedBoard教程PL篇(1):点亮LED灯

开发板环境:vivado2017.4开发板:Zedboard 芯片型号:xc7z020clg484-1本章主要使用用verilog编写一个流行灯代码控制PL端的八个LED1.打开vivado2017.42.点击Create Project 新建工程,点击Next3.填写工程名和选择工程存储路径,点击Next点击Next点击Next点击Next4.选择官方提供的板级包,并选择ZedBoard Zynq这个型号后面会显示芯片型号,点击Next..

2022-05-30 21:26:32 1154

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除