HDLBits刷题记录 Verilog Language—More Features

·255-bit population count

module top_module( 
    input [254:0] in,
    output [7:0] out );
    integer i;
    always @(*) begin
        out = 0;
        for (i=0;i<$bits(in);i++)
            if (in[i] == 1'b1)
            	out = out + 1'b1;
        	else
                out = out + 1'b0;
    end
endmodule

·100-bit binary adder

注意事项:需使用generate产生可生成多次实例的循环,语法可参照(6条消息) Verilog中generate的使用(转载)_竖条你要听话的博客-CSDN博客_verilog中generate用法icon-default.png?t=M5H6https://blog.csdn.net/qq_41624212/article/details/122834972需特别注意的两个点:

1、循环中的参量需用genvar声明。 2、for循环后需接begin并指出实例对象名称

module top_module( 
    input [99:0] a, b,
    input cin,
    output [99:0] cout,
    output [99:0] sum );
    genvar i;
    generate 
        for(i=0;i<$bits(a);i++) begin: adder
            if(i==0)
                add1 adder(a[i], b[i], cin, sum[i], cout[i]);
            else
                add1 adder(a[i], b[i], cout[i-1], sum[i], cout[i]);
        end
    endgenerate
endmodule

module add1 (input a, input b, input cin, output sum, output cout);
    assign sum = a ^ b ^ cin;
    assign cout = (a&b)|(a&cin)|(b&cin);
endmodule

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值