8月17日 使用ISE进行FPGA开发的最简单步骤视频的说明

59 篇文章 4 订阅
54 篇文章 5 订阅

学习目的:

     做为第一个ISE学习例子,消除对ISE的陌生感,学会使用ISE软件环境进行项目的建立,代码输入,管脚对应,下载调试和固化。

 

实验步骤:

1, 新建工程,设置目录,选择原件。

2, 新建代码,输入VERILOG代码,检查代码。

3, 新建用户约束文件,对照原理图锁定FPGA对应的管脚。

4, 综合,映射,布线,布局,生成比特流文件(BIT为后缀)。

5, 下载BIT文件到FPGA板子上运行验证设计是否正确。

6, 将BIT文件生成PROM文件。注意BIT文件和PROM文件的区别和联系:

A,BIT文件是在线配置文件,可以通过JTAG下载到FPGA内配置FPGA并工作。掉电就丢失,PROM文件是烧写到FPGA外部的配置芯片上的,掉电后不丢失。

B,BIT 文件是每次必须生成的,是其他格式PROM文件的基础,其他格式PROM都是根据BIT转变生成的。

C,BIT文件作为比特流文件是只有一种格式,而PROM文件是一个分类,有多种格式。

D,BIT文件必须通过JTAG写入,而PROM可以通过JTAG也可以通过专用编程器等其他方式写入外部存储器。

7, 下载PROM文件到板子上的SPIFLASH,并运行。

 

总结:

1, 需要指定设计的端口到实际的FPGA管脚,这个过程可以通过编写UCF来完成。如果不指定,ISE会任意指定管脚,也可以编译过,但是不能达到实际的运行效果。

2, 一般的设计需要进行仿真,我们这里没有提,将在专门的课程里面提到用其他更加强大的工具完成仿真。其他的一些必要但是没有提到的功能,将在以后的课程中陆续讲解。

3, 开发工具的操作是最基础的,不妨跟着视频多操作几遍,之后自己独立再多操作几遍,如果看界面英语认识不到一半的话,最好将界面出现的英语都学习一下。

4, 着重理解一下BIT文件和PROM文件的区别。

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值