数字逻辑设计基础-事件/边沿检测

    在数字逻辑设计中常常需要在边沿或者信号发生变化的时候做出相应的动作,因此,边沿检测就和重要。在其中(如果信号来自于同一个时钟域,那就不需要进行同步化处理,如果信号来自于不同的时钟域,就要就行同步化处理之后再进行检测)。边沿检测主要分为:

(1):上升沿检测

(2):下降沿就检测

(3):上升/下降沿(双边)检测

一:同步上升沿检测

代码示例:

input sig_a;

reg sig_a_d1;
wire sig_a_risedge;

always@(posedge clk or negedge rstb) begin
	if(!rstb)
	 sig_a_d1 <= 1'b0;
	else
	 sig_a_d1 <= sig_a;

end
	
	assign sig_a_risedge = sig_a&!sig_a_d1;

逻辑结构图:


二:同步下降沿检测

代码示例:

input sig_a;

reg sig_a_d1;
wire sig_a_faledge;

always@(posedge clk or negedge rstb) begin
	if(!rstb)
	 sig_a_d1 <= 1'b0;
	else
	 sig_a_d1 <= sig_a;

end
	
	assign sig_a_faledge = !sig_a&sig_a_d1;

逻辑结构图


三:同步上升/下降沿检测

代码示例:

input sig_a;

reg sig_a_d1;
wire sig_a_anyedge;

always@(posedge clk or negedge rstb) begin
	if(!rstb)
	 sig_a_d1 <= 1'b0;
	else
	 sig_a_d1 <= sig_a;

end
	
	assign sig_a_anyedge = (sig_a&!sig_a_d1)|(!sig_a&sig_a_d1)
	
	//the same as sig_a^sig_a_d1

 

 

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值