科普 | 眼图

本文简要说明眼图相关的知识,参考是德科技的文章 1

更新:2023 / 7 / 1



高速信号需要测量眼图。那眼图代表着什么? 示波器眼图怎么调出来?该如何分析眼图的好与坏?以及从眼图各种形状上,我们能知道哪些信息呢?现代的眼图分析软件又有哪些新的功能?今天是德科技示给大家一 一解答关于眼图测试的问题并介绍眼图模板和快速眼图测试的方法。

基本知识

串扰

串扰 是一种失真,主要来自与数据码型无关的幅度干扰。

工程师希望信号是 串扰 极小或完全没有 串扰 的干净信号,如此才能获得张开的眼图,并进行准确无误的数据传输。

然而,由于耦合效应,一个干净的信号(我们称为 受扰信号 )可能受到 干扰信号串扰 影响进而发生变形,或者说其眼图闭合。

上图展示了受扰信号在有串扰 下的眼图和无 串扰 的眼图。

  • 如果 受扰信号 中存在 串扰,那么这种干扰会导致眼图闭合,从而使得设计裕量变得很小甚至测量结果错误,进而造成设计的性能达不到技术指标;
  • 串扰 还会降低 受扰信号 的垂直幅度和水平抖动性能,导致通信链路中的互操作性问题愈发严重;

抖动

抖动的概念

抖动 是指相对于其应当发生跳变的时间,信号实际跳变时有多长时间的提前或延迟。比如,对数字信号而言,抖动即在信号的跳变点(或交叉点)的变化相对于理想位置发生的偏移 23,示意图如下所示:
在这里插入图片描述
抖动在眼图中的现象如下:

在这里插入图片描述
如果抖动发生在采样点跳变阈值的 “错误位置”,并且导致接收电路 “错解” 了该比特位发射时的真实情况,那么就会出现传输误差。

工程师如果能了解抖动的类型及成因、熟悉器件特性和各类测量优势,便可轻松地确认抖动的根源,从而有效地消除抖动对电路和产品的影响。


抖动成因

信号上的抖动会因各种原因而呈现出不同的特点。因此,对抖动源进行分类至关重要。下面列出了容易引起抖动的主要情形 2

  1. 系统情况
    这是数字系统本身在模拟环境下的特性对信号造成的影响。与系统相关的抖动源包括:
  • 辐射信号或传导信号之间的串扰;
  • 散射效应;
  • 阻抗失配;
  1. 数据相关现象
    传输数据的码型或其他特性会对到达接收机位置的净抖动( net jitter )造成影响。与数据相关的抖动源包括:
  • 码间干扰;
  • 占空比失真;
  • 伪随机、比特序列周期性;
  1. 随机噪声现象
    这里指的是系统中随机引入的噪声会导致抖动现象的发生。此类抖动源包括:
  • 热噪声:即 kTB 噪声。它与导体中的电子流紧密相关,并且会随着带宽、温度和噪声电阻的增加而增加;
  • 散粒噪声:半导体中的电子噪声和孔噪声( hole noise ),此类噪声的幅度取决于偏置电流和测量带宽;
  • “粉红” 噪声 - 频谱与 1/f 相关的噪声

抖动分析

眼图分析偏直观和感性认识,而抖动分析可以将系统性能做进一步的分析和测量,以提升高速电路的时序冗余度。
抖动分析功能主要应用于高速串行信号的完整性分析,分析测量方差随时间的变化情况。

常用波形直方图统计、时间间隔误差( TIE )直方图、TIE 趋势图和抖动频谱图等方法进行抖动分析。


测量项
TIE

将被某个被测信号中的边沿与由时钟恢复功能确定的理想信号中的边沿进行比较,然后按照理想数据速率测量所有的信号间隔,并计算错误统计值。

在这里插入图片描述

周期-周期

对第一个周期进行测量,然后再从第二个周期中减去第一个周期。以此类推,进行测量。

在这里插入图片描述

正脉宽-正脉宽

从第二个周期的正脉冲宽度减去第一个周期的正脉冲宽度,作为第一个测量结果。然后,从第三个周期的正脉冲宽度减去第二个周期的正脉冲宽度,作为第二个测量结果。以此类推,知道波形中的所有周期都测量完为止。

在这里插入图片描述

负脉宽-负脉宽

从第二个周期的负脉冲宽度中减去第一个周期的负脉冲宽度,作为第一个测量结果。然后,从第三个周期的负脉冲宽度中减去第二个周期的负脉冲宽度,作为第二个测量结果。依此类推,直到波形中所有的周期都测量完为止。

在这里插入图片描述


分析方法
时间间隔误差分析方法

时间间隔误差 TIE(Time Interval Error),表示时钟的每个有效沿相对于理想位置的变化。TIE 示意图如下所示:

在这里插入图片描述
以时间为横坐标,TIE [i] 值为纵坐标可以得到 TIE trend(趋势图)的时域波形,如下图中的紫色曲线。 统计 TIE 这个数组中所有值的分布情况可以作为 TIE 的直方图,如下图中橙色直方图,横坐标为 TIE 值的范围,纵坐标为不同 TIE 值对应的统计点个数。

在这里插入图片描述


抖动分类

信号上的抖动会因各种原因而呈现出不同的特点。通过 TIE 直方图可知,抖动主要分为确定性抖动 Deteministic Jitter 和随机抖动 Random Jitter

在这里插入图片描述

随机抖动

随机抖动( Random Jitter )符合高斯型分布,源可能是热噪声、散粒噪声、随机噪声、非平稳干扰。

示意图如下:
在这里插入图片描述

确定性抖动

确定性抖动( Deterministic Jitter )为非高斯分布并且有界。确定性抖动的 PDF 函数呈现离散分布。可能是宽带限制、反射、串扰、EMI、地面反弹、周期调制产生。

示意图如下:
在这里插入图片描述
测量 TIE trend 频谱将 TIE 波形进行 FFT(快速傅立叶变换),可以将确定性抖动做进一步分解。

在这里插入图片描述
抖动各分量的分解和含义如下:

在这里插入图片描述


周期性抖动

确定性抖动( Deterministic Jitter)的周期性抖动( Periodic Jitter)的 TIE 随时间的变化是重复的、周期性的,例如测量周期性波形、系统时钟(抖动频率在 MHz 量级)或开关电源(抖动频率在 KHz 量级)。

示意图如下:
在这里插入图片描述

占空比失真抖动

确定性抖动( Deterministic Jitter)的占空比失真抖动( Duty Cycle Distortion)反映了上升时间和下降时间不对称或者测试时参考点评选择不当。

眼图中的眼交叉比和占空比类似。

在这里插入图片描述

码间干扰抖动

确定性抖动( Deterministic Jitter)的码间干扰( Inter-Symbol InterferenceISI,又称为数据相关抖动( DDJ )或码型相关抖动( PDJ )。由于传输链路的效应、反射等造成。

由于阻抗不匹配导致信号发射。被发射的信号叠加在原信号上导致信号幅度增加而最终使转换电平所耗费的时间更多。所以连续不变码会达到更高的电平,在跳变时需要更多的时间才能达到门限电平,导致信号抖动。因为这个抖动的幅度与码型相关,所以又称码型相关抖动。


浴盆曲线分析

浴盆曲线表示眼图开度与误码率 BER 的关系。在许多串行通信标准中,工作在最大误码率( BER )10-12 已经成为一个实际要求。

如下图所示,浴盆曲线的 Y 轴是误码率,X 轴是采样时刻,范围是一个 码元周期1UI )。
浴盆曲线的纵坐标是一个对数坐标,表示了采样时刻和误码率之间的关系。

在这里插入图片描述
确定性抖动形成浴盆曲线近似平坦的水平部分(黄色区域),而斜坡部分(蓝色区域)由随机抖动 Random Jitter 形成。

如上图所示,当采样时刻位于跳变沿或其附近时,BER0.5。随着采样时刻不断向中间移动,误码率逐渐降低。正如我们所知道的那样,单位间隔的中间通常是最佳的采样时刻。浴盆曲线显示出在感兴趣的误码率水平下的传输误差范围。浴盆曲线的两条线与 TIE 直方图的尾部高斯函数直接相关的。

总体抖动计算公式如下:
在这里插入图片描述
浴盆曲线建立了误码率与抖动之间的联系,但是需要注意地是浴盆曲线不是为了测算误码率,而是测试不同误码率情况下的总体抖动。

从形成上来说,将一个总体抖动直方图从中间进行切分,右半边搬到左半边,左半边搬到右边就可以得到我们想要的浴盆曲线。


眼图

眼图的产生原理及作用

作用

眼图Eye Diagram )是用余晖方式累积叠加显示采集到的串行信号的比特位的结果。叠加后的形状看起来和眼睛很像,故名 眼图。如下图所示。

眼图 中包含了丰富的信息,通过眼图可以观察码间串扰和噪声的影响,了解信号的整体特征,从而评估系统优劣程度。
因此,眼图分析是高速互连系统信号完整性分析的核心。工程师经常根据眼图对接收滤波器的特性加以调整,以减小码间串扰,改善系统的传输性能。


实时波形与眼图

在这里插入图片描述

  • 1.
  • 实时波形能够反映波形的细节信息,如观察上升/下降边沿、过冲、单调性等。
  • 眼图能够体现信号的整体特征。
  • 2.
  • 实时波形很好,不等于信号品质无问题,只能代表某些比特ok。
  • 眼图很好,等于信号品质无问题,因为其代表数字信号的整体特性。

产生原理

眼图 实际上就是数字信号的一系列不同二进制码按一定的规律在示波器屏幕上累积的显示。

对于数字信号,其高电平与低电平的变化可以有多种排列组合。8 种比特跳变组合及其层叠形成的眼图眼图是查看抖动的最常用方式之一。可能的比特跳变组合总共有 8 种(见下图左图)。

以3个bit为例,有 000 ~ 111 共8种组合。在时域上将足够多的上述序列按某一个基准点对齐,将捕获的所有波形按每3个比特分别地叠加累积,就形成了眼图。

在这里插入图片描述

眼图使用颜色分级来显示信号通过图中不同区域的频次高低,这提供了另一种查看时间间隔误差 TIE 频次的方法。通过眼图测试的张开度,可以大致查看有多少抖动:

  • 眼图张开度越大,信号中的抖动就越小;
  • 眼图张开度越小,信号中的抖动就越多。

下图中显示了这样的一个例子。直方图中的着色区说明这个眼图有明显的计时误差,图中还表现出误差发生的大致频次。实时眼图软件可以在仪器上自动生成这个眼图,使人可以很容易地看到随机抖动 RJ 和确定性抖动 DJ(或 PJ)对您的器件有何影响。

什么是随机抖动 RJ

谈到随机抖动(RJ)时,我们喜欢使用 “发生抖动” 这一表述方式。随机抖动终究是不可避免的,但我们可以对它进行表征。
随机抖动呈现高斯分布(无界),它由三个原因共同造成:

  1. 热噪声会引起随机抖动,可以描述为噪声 = kTB,其中 k 是玻尔兹曼常数,T 是开尔文温度,B 是系统带宽;
  2. 散粒噪声(或泊松噪声)可导致 RJ。散粒噪声是由电子和空穴的量化造成的固有噪声,并且收到偏置电流的影响。
  3. 粉红噪声会引起 RJ,它与频率成反比( 1/f )。所有系统将具有某种程度的随机抖动。

什么是确定性抖动( DJ )?

确定性抖动是非随机的、有界的抖动,它由设计中的次序出现引起。此外,确定性抖动可以分成多个子分量,如:周期性抖动( PJ )、数据相关抖动( DDJ )、有界不相关抖动( BUJ )。

下图所示为一个具有确定性抖动的系统实例。与随机抖动不同的是,确定性抖动的 PDF 通常由一个以上的峰。

在这里插入图片描述
图中所示为一个具有确定性抖动的系统实例。与随机抖动不同的是,确定性抖动的 PDF 通常有一个以上的峰。

由于此 PDF 有两个峰(双峰),由此我们可以判断,这个系统中同时存在 DJRJ

在这里插入图片描述
上图为实时眼图软件可以自动生成信号的眼图。

为什么捕获脉冲的时间越长,眼图 “填充得就越满” ?
眼图随着时间延长累积数据增加,这就是为什么看起来捕获脉冲的时间越长,眼图 “填充得就越满”。就会看到眼图的张开度随着时间推移逐渐缩小,这是因为它正在捕获更多的抖动实例。但是,如果设计非常稳健,则应该不会看到太多变化。


眼图相关概念

单位时间间隔

1个单位时间间隔 UIUnit Interal ),为 1 bit 数据的周期(或称为码率)。

UI定义示意图

码型

眼图分析中,常常用到 “时钟恢复”。而要从数据中恢复出时钟信号,则对数据的码型和排列有一定的要求。

在这里插入图片描述
常见的码型有归零码、非归零码( NRZ )和双极性码几种,如上图所示。


概率密度函数

概率密度函数 PDFProbability Density Function )如下图,工程上用直方图统计。标准差也被称为标准偏差,是数据偏离均值的平方和平均后的方根,用 σsigma )表示,μX 序列的均值。公式如下:

在这里插入图片描述
下图为正态分布的均值 μ 和标准差 σ 的关系; 包含了至少 99% 的概率信息。

在这里插入图片描述
本文眼图参数获取时,会使用均值 μ 和标准差 σ 作为测量参考。例如,在眼图的眼幅度测量中,对应的 PDF 如下所示:

在这里插入图片描述


眼图的关键参数

眼幅度和眼高度

在这里插入图片描述
如上图所示,在 NRZ 编码中,只有 Level1 / Level010 )两个电平。Level1Level0 称为眼图电平。

在眼图中1个 UI 的中间 20% 的区域,测量垂直方向的概率密度函数( PDF ),即垂直轴上直方图概率最高的位置,对应的到 Level 值。

  • 眼幅度( Eye Amplitude
Eye Amplitude = Level1 - Level0
  • 眼高度( Eye Height
    利用3Sigma( )的位置确定眼高度( Eye Height ),公式如下:
Eye Height = (Level1 - 3σ1- (Level0 + 3σ0

品质因子和误码率

在这里插入图片描述

品质因子( Quality Factor )又称为 Q因子,是用于测量眼图信噪比的参数。Q因子 是在最佳判决门限下信号功率和噪声功率的比值,计算公式如下:

在这里插入图片描述
简写为

在这里插入图片描述
Q因子可以综合反映眼图的质量。Q因子越高,眼图的质量就越好,信噪比就越高。


眼图信噪比 SNRSignal-to-Noise Ratio )为 Eye SNR = 20Log(Q),单位是分贝。误码率可以用品质因子通过高斯误差函数( erf / erfc)计算得到,计算公式如下:

在这里插入图片描述


眼宽度

在这里插入图片描述
眼宽度( Eye Width )是水平两个眼交叉点( Crossing Point )之间的水平距离,单位为秒。如上图所示。

眼宽反映信号的总抖动。当总抖动大时,眼宽就小,甚至看不出眼睛的形状。


眼图上升时间和下降时间

在这里插入图片描述
对眼图垂直方向进行直方图统计,找到顶值 Vtop 和 底值 Vbase,由 VtopVbase 确认眼图上升时间的阈值 lowerupper(通常为20% ~ 80% 或 10% ~ 90% 之间)。再根据阈值测量直方图从上升沿(从低到高)的平均水平距离(单位:秒),即眼图上升时间( Eye Rise Time )。

与上升时间类似,从高到低的平均水平距离,见上图中的 Fall 部分。

通过计算上升时间和下降时间最终可以计算眼图的占空比和抖动分量重的占空比失真( Duty Cycle Distortion )。
根据 Middle 阈值(50%)统计直方图,得到时间用于计算占空比时间:
在这里插入图片描述
进一步得到眼图占空比数据:
在这里插入图片描述


眼图交叉幅度和眼图交叉比

在这里插入图片描述
按照 UI 的边界位置为参考,统计眼高度范围内概率分布,可以得到眼交叉点( Eye Crossing )的位置,眼交叉点对应的幅度平均值就对应眼图交叉幅度。

眼图交叉比是眼交叉幅度与眼幅度的比例关系。公式如下,

在这里插入图片描述
不同交叉比例关系可传递不同信号位准。一般标准的信号交叉比为50%,即表示信号 10 各占一半的位。

下面的眼交叉比关系反映 10 占空比的关系,

在这里插入图片描述


眼图与系统性能

当接收信号同时收到码间串扰和噪声的影响时,系统性能的定量分析较为困难。一般可以利用示波器通过观察接收信号的眼图对系统性能进行定性的、可视的估计。

在这里插入图片描述
如上图所示,通过眼图我们可以观察出符号间干扰和噪声的影响。

  • 最佳抽样时刻应选在眼睛张开最大的时刻,眼睛张开越大表示码间串扰越小;
  • 眼图斜边越抖,系统对定时误差越灵敏;
  • 在抽样时刻上、下两阴影区离门限最近的线到门限的距离为噪声容限。如果噪声瞬时值超过噪声容限就有可能发生错误判决;
  • 对于利用信号过零点取平均来得到定时信息的接受系统,眼图倾斜分支与横轴相交的区域的大小表示零点位置的变动范围。这个变动范围的大小对提取定时信息有重要影响。

创建眼图 - 眼图波形的采样过程

传统眼图生成方法

传统眼图生成方法原理简单,很适合理解眼图生成机制。其示意图如下所示:

在这里插入图片描述
简单地描述传统的眼图生成方法即 “每次触发叠加一个UI“。方法简单,但效果并不理想。

由于屏幕上的每个 UI 信号波形通过触发点对齐,眼图通过对信号多次触发采集后叠加生成。这样会导致仪器触发电路的抖动成分将被引入到眼图测量中,导致测量不精确。


新的眼图生成方法

新的眼图方法描述为 “同步切割+叠加显示”。其示意图如下所示:

在这里插入图片描述
示波器首先捕获一组连续比特位的信号,然后用软件 PLL 方法恢复出时钟,最后利用恢复出的时钟和捕获到的信号按比特位切割,切割一次,叠加一次,最终将捕获到的一组数据的每个比特位都叠加到了眼图上。

新的眼图生成方法解决了触发抖动问题,处理 UI 多,因此速度也快。


数据边沿的提取

数据边沿的提取获取捕获数据的最大值为 Max,最小值为 Min,设置 Threshold = 0.5 * (Max + Min),当采样点电压值穿过 Threshold 时,记录下时间为 Edgetime_initial[i],这将是后面进行理想时钟恢复的依据。

在进行数据边沿的提取时,需要注意地是,由于采样率有限制,当码元速率较高时,单个码元对应的采样点个数较少会使得求出的 Edgetime_initial 值误差较大,这时候就需要在 Threshold 附近进行插值。

数据边沿的提取与边沿处罚的原理较为相似,对于 Threshold 附近噪声干扰的处理方法可以参照触发的实现方式。触发粘滞比较处理如下图所示,将比较器输出高低点评比较信号,经过运算处理为 1 个比较信号。粘滞比较器的总的规则是信号大于高电平比较为高,小于低电平比较为低,否则保持不变。

在这里插入图片描述

时钟恢复

时钟恢复是眼图抖动生成的关键。

时钟数据恢复电路主要完成两个工作,一个是时钟恢复,一个是数据重定时,也就是数据恢复。下图为一个简单的时钟数据恢复 CDRClock Data Recovery )电路显示图。

在这里插入图片描述
在这里插入图片描述
现在的宽带示波器一般提供如下几种时钟恢复方式:锁相环 PLL(包括主要是 Golden Phase-Locked Loops ),常数时钟恢复和外部时钟恢复。


锁相环时钟恢复

PLLPhase-Locked Loops )时钟恢复模块主要分为依靠硬件 PLL 来实现的硬件时钟恢复与软件 PLL 来实现的软件时钟恢复。

软件 PLL 也称为黄金锁相环,用来调节恢复时钟的频率并补偿由于工艺或温度的变化而导致的频率变化。黄金锁相环一般支持一级或二级 PLL,参数可以随意设置。

无论是硬件 PLL 或软件 PLL,其结果和功能是类似的,包括鉴相器( Phase Detector )、环路滤波器( Loop Filter )、压控振荡器( Voltage Controlled Oscillator,简称 VSO )三个基本部分组成。
其基本的原理框图如下所示:

在这里插入图片描述

常数时钟恢复

常数时钟恢复方式是通过预置的码元速率结合数据边沿,运用最小二乘法进行拟合。通常会引入低频抖动,因此在常数速率时钟恢复的后面,在进行抖动的分解与分析之前,加入了一个滤波器。将常数速率时钟与原始数据边沿比较得出的 TIE 值,对 TIE 值进行低通滤波以消除低频抖动。再将经过低通滤波的 TIE 值加回到常数速率时钟当中,就能够恢复出不含低频抖动的时钟。

在这里插入图片描述

外时钟恢复方式

外时钟恢复很简单,直接用外部的同步时钟来恢复数据。适合于源同步信号的测试(如 HDMI )。

眼图是一种信号显示模式。这种模式不需要重复的波形,有助于确定噪声、抖动、失真、信号强度以及许多其他测量结果。它能够给出系统性能的总体视图,因为它查看的是比特流中每个比特组合的叠加结果。

这种模式需要的是同步时钟信号触发。每当触发事件时(允许示波器重新准备触发),示波器会对数据进行采样,并且在屏幕上构建所有可能的 10 组合。全频始终和分频时钟均可用于触发,但是,如果码型的长度是时钟分频比的偶数倍,则眼图会缺少组合,因而不完整。此外,如果将数据用作自身的触发信号,则眼图看起来可能完整,但示波器只会在数据码型的上升沿上触发。想要得到精确的眼图测试结果,我们应当避免采用这种方式。

显示眼图的触发过程如图所示:

在这里插入图片描述


评价眼图 - 性能指标

在对于一个眼图进行好和坏的评估时,通常都有一些常见的衡量指标,比如眼高、眼宽、抖动、占空比等,如下图。

在这里插入图片描述

在这里插入图片描述

通过对眼睛不同部位的表征,可以快速地判断和定性信号的问题。
比如,眼图跳变沿交叉点的上下区域可以代表占空比,如果上下区域比例不对称,则代表占空比的结果可能存在问题。

不同的眼图可以反映不同的信号质量。对于有经验的工程师可以从眼图上发现信号是否存在阻抗不匹配导致的反射,以及是否某种抖动成分偏大,甚至如何优化眼图质量。

总体来说:

  1. 眼图的张开度与抖动和误码率 BER 相关联;
  2. 眼图张开越大,表明对噪声和抖动的容许误差越大;
  3. 眼图张开越大,表明接收器判断灵敏度越好;
  4. 眼顶、眼底和转换区域宽表明接收器判断灵敏度降低;

“张开” 的眼图,从以下几个方面考虑:

  1. 考虑PCB走线长度:短走线并非始终能够满足。短走线意味着低损耗。
  2. 考虑PCB走线宽度:宽走线可以降低趋肤效应。
  3. 减小板材的介电常数:降低介电损耗( Dielectric Loss ),但将增加成本。
  4. 信号预加重和均衡处理:通过对跳变位预加重( Pre-Emphasis )处理,补偿线路上因信号跳变产生的针对高频分量的损耗。
  5. 阻抗不连续造成的反射,阻抗匹配减少反射。

如何评判眼图质量?

其实在评价眼图的质量时,一般遵循两个重要原则:

  1. 眼睛要大。如果配合上有眼图模板的话,那么以眼图模板当作瞳孔,则眼白( Margin )就必须要足够多。
  2. 如下图中红绿色圆圈中交叉的部分必须要越小越好,最好是一个点。因为这里代表的是抖动。如果太大就会造成误码率( BER )增加,越小则代表信号质量越好,发生误码的机率越低。
    在这里插入图片描述

眼图测试

所谓的眼图测试模板主要是用在判断眼图是否符合规范的要求,
在这里插入图片描述
在这里插入图片描述
有时候为了能简单、直观地判断眼图指标是否符合要求,可以将规范的定义的要求制作成一个模板,然后通过示波器来调用,便可以直接观察到眼图是否有接触到模板。

  • 如果没有接触到则表示眼图的指标符合规范要求。
  • 如果有接触到模板,可以根据接触的位置进行针对性的改善,而非像传统的测试方法一样去一一地测量眼图指标了。

眼图的测试主要是用来检测高速串行传输的信号质量,不论是 SATAPCIe 还是 USB,标准都有提供眼图模板的标准给工程师作为眼图测试的准则。
如下图所示,是 USB 2.0 TX 的眼图模板。图中 ABCDEF 6点所围成的六边形红色区域以及 GH 以上,IJ 以下区域代表所谓的 禁止区域

在这里插入图片描述
如果眼图有任何信号波形位进入这些红色区域,则表示信号传输不满足协议规范的要求。如下图的绿色圆圈处所示:

在这里插入图片描述

每个信号完整性工程师都会跑眼图模拟,看信号在特定测试情境下是否可以张开。最常见的问题就是怎么样叫眼睛张的够开。


眼图与存储深度

通常眼图是由若干个比特( UI )组成。考虑到眼图测试的精度和稳定性,一般都要求累积到足够的 UI 数再分析,这个就涉及到示波器的存储深度。

越高的存储深度,示波器一次分析的 UI 数就会越多,测试结果也就越精准。因此,在测量高速信号的眼图和抖动中,尽量采用高的存储深度。当然,存储深度越高,示波器的分析速度相对也会变慢。

下图是 Keysight 实时示波器动态显示实时眼图的累积情况。眼图的左上角会显示累积的 UI 数以及示波器捕获的波形数,满足等式:

UI数 = 存储深度 / 采样率 * 信号速率 * 波形数

在这里插入图片描述


实时的眼图表现

眼图既然是实时波行的叠加,对于眼图的分析也应该具有实时性。

下图是 Keysight 实时示波器测出的眼图,在示波器窗口中能看到上半部窗口是实时波形的显示,下半部窗口是实时眼图的显示。
这种同步实时性的显示功能可以让工程师更直观地对波行和眼图进行观察,更好地进行分析和调试工作。

在这里插入图片描述

眼图轮廓 Eye Contour - 误码率眼图

什么是眼图轮廓 Eye Contour 功能?
眼图轮廓 Eye Contour 技术和我们测量总体抖动差不多,也是采用 Dual-Dirac 双狄拉克模型。以测量出的实时眼图的中心为原点,然后对眼图进行多方位对角分割,计算出眼图在每条分割线的直方图,再通过对直方图的尾部进行拟合,就推算出更多样本数的分布,从而得到每条分割线上的不同误码率下的结果,最后将不同误码率下的结果分别地用线描绘在一起就得到了各个误码率的眼图轮廓。

现在对高速信号的眼图测试要求越来越高。
以前工程师在测量眼图时,可能在捕获时间上有多有少的自行定义,来看眼睛的高度和宽度或者抖动等。
现在很多的接口规范开始要求在一定误码率下来评估眼高和眼宽等,比如在 OIF-CEI 的标准里对 28 Gbps 信号的眼高严宽要求,就定义在1e-15的误码率下。

下图是使用 Keysight 示波器对 V by One 的信号进行眼图测试,该总线规范也要求了误码率1e-9下的眼图。在下面的眼图结果中可以看到不同误码率下的眼图轮廓,红色线就是误码率1e-9的眼图轮廓,

在这里插入图片描述


眼图和误码率 BER

在误码率( BER )的测试中,

  1. 码型发生器会生成数十亿个数据比特,并将这些数据比特发送给输入设备,然后在输出端接受这些数据比特。
  2. 误码分析仪将接受到的数据与发送的原始数据一位一位进行对比,确定哪些码接收错误,随后会给出一段时间内计算得到的 BER

考虑误码率测试的需要,我们以下面的实际测试眼图为参考,以生成误码率 BER 图,参考眼图如下所示:

在这里插入图片描述

BER 图是样点时间位置 BER(t) 的函数,称为 BERT 扫描图或浴盆曲线。简而言之,它是在相对于参考时钟给定的额定采样时间的不同时间 t 上测得的 BER
参考时钟可以是信号发射机时钟,也可以是从接收的信号中恢复的时钟,具体取决于测试的系统。

以上述的眼图为参考,眼睛张开度与误码率的关系以及其 BER 图如下:

在这里插入图片描述
眼睛张开度与误码率的关系如下:

在这里插入图片描述
上述两图中,BER 图与眼图时间轴相同,两侧与眼图边沿相对应,样点位于中心。
BER 一定时,曲线之间的距离是该 BER 图上的眼图张开程度。在样点接近交点时,抖动会导致 BER 提高到最大 0.5


附录

缩略语词汇表

参考这里 4
在这里插入图片描述


参考链接

#todo 5


  1. 如何更好的理解信号眼图? ↩︎

  2. 抖动基础知识:抖动源、类型和特征 ↩︎ ↩︎

  3. 详解示波器的眼图与抖动功能 ↩︎

  4. 理解和检定定时抖动 ↩︎

  5. 眼图详解 ↩︎

  • 1
    点赞
  • 48
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
### 回答1: LPDDR是一种低功耗的动态随机存储器,能够广泛适用于移动设备和其他低功耗应用。眼图分析方法是一种用来分析LPDDR存储器信号质量的技术,通常用于检测和诊断信号噪声、延迟等可能导致存储器性能下降的问题。 眼图分析方法通过使用示波器来捕获LPDDR信号,然后通过对信号进行处理和分析得出信号的质量。眼图是一种两维图像,包括数据信号和时钟信号,它显示了时钟在一定时间窗口内,在不同电平和时钟相位下的数据响应。眼图中的 "眼"具有宽度、高度和上下偏移的参数,可以用于评估信号的质量。 在LPDDR眼图分析中,一般采用四个基本指标来评估信号的质量:垂直(垂直内塌)、水平(水平收窄)、时钟时钟偏移)和抖动(数据信号抖动)。这些指标可以帮助我们找到信号中的问题,以便我们可以对存储器和其他电路进行进一步的调整和优化。 眼图分析方法是一种有用的工具,可以帮助我们更好地理解LPDDR存储器的信号质量,并检测和诊断任何性能问题。通过使用这种方法,我们可以优化存储器的设计和调整参数,以确保性能和稳定性,并确保LPDDR存储器在低功耗应用中的良好表现。 ### 回答2: LPDDR眼图分析方法是一种用于评估LPDDR存储器接口性能的重要手段。LPDDR是低功耗双数据率同步动态随机存取存储器,经常用于移动设备。由于移动设备功耗较低,因此存储器模块必须支持低电压操作并具备符合功耗限制的高速传输能力。眼图分析方法是通过观察信号在时域和电压范围内的变化,来评估LPDDR存储器接口信号的稳定性和传输质量。该方法可以帮助工程师快速检测并调整接口设计中的问题,提高系统数据传输的可靠性。 在LPDDR眼图分析过程中,首先需要通过特定的测试仪器对信号进行抓取和记录。然后根据抓取到的信号波形,绘制出单位时间内所有周期内的信号曲线图形。这个图形呈现出一个中央突出的眼形区域,表示数据传输信号的稳定范围。眼形区域的大小和形状可以反映出信号质量的好坏,如眼形区域较小或扭曲,则可能表明信号质量存在问题,需要调整接口设计或考虑使用更高品质的元器件。 总之,通过LPDDR眼图分析方法,可以评估系统接口信号的质量和稳定性,为系统设计提供重要的参考。利用这种方法,工程师们可以快速定位问题并进行修复,改善系统性能和数据传输的可靠性。 ### 回答3: LPDDR是一种低功耗双数据率Synchronous Dynamic Random Access Memory(SDRAM)技术,广泛应用于移动设备、平板电脑、智能手表等电子设备中。眼图分析方法是LPDDR系统测试中常用的一种方法,主要用于检测时序和信号质量问题。 眼图是一种可以对数字信号进行可视化分析的方法,它可以显示出信号的时序关系和信号质量。在LPDDR测试中,通过输入合适的测试序列,然后使用示波器等工具捕获信号的波形,从而得到眼图眼图由多个重叠的波形组成,它们显示出每一位数据的变化情况,并形成一个开放的“眼”形,这个“眼”形贯穿整个传输过程,直观地反映了信号质量和传输时序的关系。 通过眼图分析,可以发现信号可能存在的问题,如时钟抖动、时序偏移、信号电平不稳定、噪声等。进一步分析可以定位问题的来源,优化LPDDR系统的设计和调试。通过这种方法检测LPDDR系统,可以快速诊断和解决问题,提高系统的稳定性和可靠性,保证系统的正常运行。 总之,眼图分析方法是LPDDR系统测试中一种有效的工具,通过它可以检测LPDDR系统存在的问题,解决时序和信号质量问题,提高系统的稳定性和可靠性,保证系统的正常运行。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值