【前端设计】SDC中生成时钟create_generated_clock语法解析

 我们的目标是┏ (゜ω゜)=☞芯片前端全栈工程师~喵!

前言 

好久没有写前端设计系列的博客了,这次因为要在系统里加入时钟分频器因此复习一下sdc中关于生成时钟的约束语法,以下内容来自《综合与时序分析的设计约束》和一些自己的理解。

生成时钟

在sdc约束中,由端口输入的时钟使用create_clock进行约束,其语法为:

create_clock -period period_value
             [source_objects]
             [-name clock_name]
             [-waveform edge_list]
             [-add]
             [-comment comment_string]

而在内部生成的时钟,则通过create_generated_clock进行约束,其语法为:

create_generated_clock -source clock_source_pin
                       [source_objects]
                       [-master_clock master_clock_name]
                       [-name generated_clock_name]
                       [-edges edge_list]
  
  • 0
    点赞
  • 10
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

尼德兰的喵

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值