linux下的EDA——VCS与Verdi仿真

本文介绍了如何在Linux系统中利用VCS进行仿真,并结合Verdi进行波形观察。通过指定PLI使用Verdi的PLI,生成.fsdb和.vcd波形文件,然后在Verdi中打开波形界面进行信号分析。同时,文章提到了VCD、fsdb、shm和vpd等不同类型的波形文件及其特点。
摘要由CSDN通过智能技术生成

保证VCS与Verdi已经正确安装之后开始仿真,使用代码与之前一致。


对testbench进行增改,在其中加入如下语句:

initial begin
    $fsdbDumpfile("counter.fsdb");
    $fsdbDumpvars(0,u0);
end
含义为产生Verdi所需的fsdb文件,对tb中的所有层的信号均抓取。保存testbench。

评论 5
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

尼德兰的喵

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值