FPGA未使用管脚配置(Quartus)


实验:使用FPGA开发板(ALTERA)进行LED灯实验

目标:实现一个与门,两个按键任意一个按键按下,LED灯亮

现象:开发板上未配置的LED微亮

原因:发现其他未使用的管脚没有配置,默认配置为了弱上拉

解决方案:

将未使用管脚设置为三态输入
Assignments  -> Device 或双击器件 -> Device and Pin Options
 
Unsed Pins 选为As input tri-stated

配置以后其他未使用的LED就不亮啦


贴上实验用源码,其中a和b配置为按键

LIBRARY IEEE;    
USE IEEE.STD_LOGIC_1164.ALL;
ENTITY led IS 
PORT(a, b : IN STD_LOGIC; 
           y: OUT STD_LOGIC); 
END led; 
ARCHITECTURE one OF led IS
BEGIN
     y<= a and b;
END one;


评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值