UVM report机制

UVM report基础

UVM report机制是UVM控制信息打印的机制。UVM用户通常同过调用以下打印宏来打印信息:

UVM主要打印宏
打印宏打印严重性
UVM_INFO普通打印,不会计数或直接退出仿真;可以通过参数控制verbosity控制打印与否
UVM_WARING警告型打印;无论什么verbisity都会打印
UVM_ERROR出错型打印;当UVM_ERROR到达一定个数会直接退出仿真
UVM_FATAL严重错误打印,直接退出仿真

UVM_INFO可以设置打印verbosity,若该条打印信息verbosity小于等于component的verbosity,则该条打印信息会输出,否则不输出。

打印信息自身verbosity设置如下:

`uvm_info("ID","info",UVM_HIGH);

打印信息可以设置为以下几种:

verbosity对应值
UVM_NONE0
UVM_LOW100

UVM_MEDIUM

200
UVM_HIGH300
UVM_FULL400
UVM_DEBUG500

UVM component设置可以通过UVM_VERBOSITY内置参数传输,其会将所有component都设置为设置值。不设置默认全局verbosity为UVM_MEDIUM。

  • 0
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值