DC综合之存储equivalence information(SVF & VSDC)

DC综合,将RTL综合成网表netlist之后,需要对比网表netlist与原RTL之间的逻辑等价性,DC综合过程中会有很多逻辑优化的动作,很多被优化的逻辑就无法跟RTL保持对齐和一致性了,而这就需要DC综合提供一个equivalence information的file。

DC综合,可以存储两种格式的equivalence information,分别是SVF和VSDC。

SVF,是比较熟知的formality使用的Formality setup information file,协助形式验证的equivalence information。

 

VSDC,是DC综合可以提供给第三方EDA进行逻辑等价验证的equivalence information。

 

在DC综合脚本中,生成SVF和生成VSDC的方式是一模一样的,可以同时并排着写到DC综合脚本里面:

current_design $design_name

link

set_svf     ../all_out/out_tag/$design_name.svf

set_vsdc  ../all_out/out_tag/$design_name.vsdc

…… ……

initial_compile

…… ……

set_svf      -off

set_vsdc   -off

…… ……

set_svf -append     ../all_out/out_tag/$design_name.svf

set_vsdc -append  ../all_out/out_tag/$design_name.vsdc

…… ……

incremental_compile/insert_dft

…… ……

set_svf -off

set_vsdc -off

两个命令是一模一样的,SVF文件是二进制乱码,VSDC文件是txt明码。

  • 1
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值