quartus II工具2——In-System Memory Content Edit

    很多情况我们在做一个项目时,会在FPGA中内嵌一些RAM或ROM,当然一般我们都会使用quartus自带的IP生成工具来完成这样memory的生成;使用quartus自带工具生成的memory IP,quartus有一个非常好用的工具来在线读写这个memory——In-System Memory Content  Edit;

    要使用这个功能,需要在生成这个mem IP时(ROM或者RAM都可以),勾选一个选项,如下图:

        允许使用“In-System Memory Content  Edit”更新内容,并设置一个RAM的ID,这个ID是在“In-System Memory Content  Edit”中区分不同的RAM时使用的。

       RAM/ROM在做了上述的设置后,将工程加载进FPGA,连接上usbblaster,打开“In-System Memory Content  Edit”,就可以对

  • 0
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值