FPGA LVDS应用

1. IBUFDS原语使用问题

    使用IBUFDS原语,IBUFDS的输入信号例化为FPGA的输入端口(差分端口loc_clk19m_n和loc_clk19m_p),但是综合时错误提示:
    ERROR:Xst:2035 - Port <loc_clk19m_n> has illegal connections. This port is connected to an input buffer and other components.
    ERROR:Xst:2035 - Port <loc_clk19m_p> has illegal connections. This port is connected to an input buffer and other components.

    解决办法:

右键“synthesize”->“properties”->“Xilinx Specific Options”->去掉add I/O buffer

 

2. LVDS 使用到的原语:

    基本元件IBUFGDS LVDS 用来例化输入时钟信号;IBUFDS LVDS 用来例化普通的输入信号;OBUFDS LVDS 用来例化普通的输出信号。元件名中的“*” 号是通配符,分别代表2.5 V模式、3.3 V模式或扩展模式。

    http://blog.163.com/da_feng_chen@126/blog/static/51869180200852011423426/

 

  • 0
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值