fpga电平约束有什么作用_FPGA上电后IO默认状态

<div id="article_content" class="article_content clearfix">
        <link rel="stylesheet" href="https://csdnimg.cn/release/blogv2/dist/mdeditor/css/editerView/ck_htmledit_views-b5506197d8.css">
                <div id="content_views" class="htmledit_views">
                    <div class="._5ce-wx-style" style="font-size:16px;"> 
 <div class="rich_media_content" id="js_content"> 
  <blockquote>
   <p><strong>问题来源:</strong>fpga配置时的管脚状态 关于这个问题,好像网络上面有很多人问,但是eetop这个话题不多。大多数的回答是:配置的时候所有的管脚默认是Z态。这个说法到底对不对呢?<br>下面我谈谈自己使用的几款新品的情况。项目背景:开关信号发射机。初始状态要求IO信号都是低电平,来自控制DSP的发射控制信号触发IO开关信号的产生。上电的时候不能有高电平,否则引起发射机状态不稳,会产生问题。<br>(1)VirtexII1000 设作IO的信号在上电配置的过程中用示波器测量时高电平,大约在90ms左右,和配置时间基本一致。在管脚配置栏设置 pull-down后,这个现象消失。未使用管脚没有这个现象。未使用管脚的处理是float。<br>(2)virtex5-xc5vsx50t 设作IO的信号在上电配置的过程中发现有和配置时间基本一致的一段大约在0.2V左右的凸起。基本可以认为是没有信号。管脚配置没有做特殊设置。<br>(3)EP3C25的fpga,在配置的时候,能够发现编程应用的IO脚和未使用的管脚都有大约300ms左右的(EPCS16)高电平。和配置时间完全一致。使用外接的下拉电阻6k左右下拉到1V左右,使用1k下拉到0.2V左右。<br>谈谈我的理解:无论altera还是xilinx的fpga,IO脚的基本结构都差不多,输出都是推拉mos管,能够实现三态、可编程的上下拉电阻等等。在默认配置下,我认为cad工具可能设定了配置状态时 IO模块的三态功能和弱上拉功能同时起作用,所以会有上述现象。altera cyclone3的EP3C25的fpga,我在网络上查了很久,测试过powerup no 什么的指示, io feature设定等,都没有作用,最好 只好外加下拉电阻了。不知道哪位高手遇到类似问题,是否在q软件里面可以设定,麻烦告知,不胜感激!</p>
  </blockquote>
  <h1><a name="t0"></a><a name="t0"></a><span style="font-weight:bold;">概述</span></h1>
  <p>电源供电线路上电之前,I/O管脚的状态为“未知”。所以在进行FPGA硬件设计时,引脚分配是非常重要的一个环节,特别是在硬件电路上需要与其他芯片通行的引脚。</p>
  <p>Xilinx FPGA从上电之后到正常工作整个过程中各个阶段引脚的状态,会对硬件设计、引脚分配产生非常重要的影响。这篇文章就针对FPGA从上电开始 ,配置程序,到正常工作整个过程中所有IO的状态进行分析。</p>
  <p>从时间阶段可以分为两部分,第一阶段是从FPGA上电开始直到<strong>配置(Configuration)完成之前</strong>。第二个阶段是<strong>配置完成之后</strong>,FPGA开始正常工作开始。</p>
  <p>从引脚类型上分,可以分为三大类:</p>
  <ul><li><p>第一类是普通的IO,其中又分为程序设计中使用到的IO和程序设计中没有使用的IO(即在ucf或者XDC文件中没有进行约束的IO);</p></li><li><p>第二类是专用下载配置引脚(Dedicated Pins),这类引脚只用于专用的功能,包括有M[2:0]、TCK、TMS、PROGRAM_B、INIT_B等。</p></li><li><p>第三类为功能复用引脚,这类引脚在使用特定的功能时使用,例如在使用BPI配置模式时,D[00-31]和A[00-28]需要使用。如果使用SYSMON时,I2C_SDA和I2C_SCL需要使用。但在当前没有使用该功能的情况下,功能复用引脚可以看成普通IO。</p></li></ul>
  <h1><a name="t1"></a><a name="t1"></a><span style="font-weight:bold;">FPGA IO的基本结构</span></h1>
  <p>在《IO输入输出的各种模式》介绍了处理器IO的各种输入输出模式以及原理,那么FPGA的IO是什么样的结构和原理?图 1为Xilinx文档中提供的IOB的内部结构,可以看出:</p>
  <ol><li><p>在FPGA IOB内部,Pad输出之前,内置上下拉电阻。且可以通过Passive Pull-up/Pull-down模块控制两个MOS管的导通与否来控制是否使能上下拉电阻。</p></li><li><p>内部连接Pad的分别有一个Input Buffer和Output Buffer。其中Input Buffer对外应该始终呈现高阻状态,同时可以将Pad上的电平通过Input Buffer传到I1和I2,或者是下部的FF。Output Buffer有两个控制信号,分别是Slew Rate Control,用来控制输出信号的Slew Rate;另一个是三态控制信号T,可以控制Output Buffer输出高阻。</p></li><li><p>内部输出信号Out,可以通过上半部分的FF,经Output Clock同步后打出,也可以直接连接到Output buffer的输入端,直接输出。</p></li><li><p>同样Input Buffer的输出,可以直接连接到I1和I2,也可以经过下半部分的FF,经过input clock的同步之后输出到内部总线上。</p></li><li><p>上下两个MOS并不是推挽输出的两个MOS管,因为并不受到互补信号的控制,并不一定一个导通另一个闭合。</p></li></ol>
  <figure style="text-align:center;">
   <img src="https://img-blog.csdnimg.cn/img_convert/6e995b9601d86b928d83c2cca6963a9c.png" alt="6e995b9601d86b928d83c2cca6963a9c.png">
   <figcaption>
    图1
   </figcaption>
  </figure>
  <p>这里介绍一下输入缓存器的结构和原理,其结构如图 2所示,其原理与推挽输出电路非常类似,只是输入端信号作为了两个互补MOS管的控制端,控制着输出端的电平。由于输入缓冲器有自己的供电电压,所以输入电平必须与缓冲器的电源电压相匹配。D1和D2两个钳位二极管用于防治输入电压过低或者过高,损坏输入缓冲器。</p>
  <figure style="text-align:center;">
   <img src="https://img-blog.csdnimg.cn/img_convert/0a2ee76475e37c0550dfb64e3f46cb95.png" alt="0a2ee76475e37c0550dfb64e3f46cb95.png">
   <figcaption>
    图2
   </figcaption>
  </figure>
  <h1><a name="t2"></a><a name="t2"></a><span style="font-weight:bold;">普通IO</span></h1>
  <h2><a name="t3"></a><a name="t3"></a><span style="font-weight:bold;">配置完成之前</span></h2>
  <p>在FPGA上电到配置完成之前,由于当前FPGA还没有下载程序,无法区分哪些引脚被设计所使用,哪些引脚没有被使用。此时的普通IO包括两部分:</p>
  <ol><li><p>该封装中所有的通用IO引脚。</p></li><li><p>当前所选择的模式下没有使用到的所有功能复用管脚。</p></li></ol>
  <p>在Spartan6系列以及之前的器件中这些引脚的状态是根据<strong>HSWAPEN</strong>的状态决定的。</p>
  <figure style="text-align:center;">
   <img src="https://img-blog.csdnimg.cn/img_convert/42042ade3cca8059b43a537f0e24b953.png" alt="42042ade3cca8059b43a537f0e24b953.png">
   <figcaption>
    图3
   </figcaption>
  </figure>
  <p>在7系列以后的器件,包括Ultrascale器件中,这些引脚的状态是根据<strong>PUDC_B</strong>(Pull-Up During Configuration)引脚</p>
  <figure style="text-align:center;">
   <img src="https://img-blog.csdnimg.cn/img_convert/5eebcd9dbabb5c3c7bb7f96adc7c6edf.png" alt="5eebcd9dbabb5c3c7bb7f96adc7c6edf.png">
   <figcaption>
    图4
   </figcaption>
  </figure>
  <p>这两个引脚的功能是相似的,都是用来控制在Configuration完成之前,所有普通IO的上拉电阻是否使能的。对应到图1中,</p>
  <p>即Output Buffer输出高阻,Input Buffer对外始终为高阻,此时选择是否连接上拉电阻。</p>
  <h2><a name="t4"></a><a name="t4"></a><span style="font-weight:bold;">配置完成之后</span></h2>
  <p>在配置完成之后,FPGA就进入正常工作的模式了。在配置完成之后,普通引脚可以分为以下两种:</p>
  <ol><li><p>工程设计中使用的IO,即在UCF或者XDC中有明确约束的IO。</p></li><li><p>其余没有使用,也没有约束的IO。(称为Unassigned Pins)</p></li></ol>
  <p>首先,对于第一种情况,由于已经在设计中明确设定了这些引脚的设置,包括方向、电平、驱动能力等等,所以在配置完成之后,这些引脚的状态已经被设置为了预设的状态。</p>
  <p>对于没有约束的IO,又复杂一些了。在ISE开发环境下,工程完成Implement之后,在Processà Process properties àConfiguration Options中有"-g UnusedPin Unused IOB Pins"属性,可以选择Pull Down、Pull Up或者Floating。默认状态是Pull-Down。对应到图 1中,是高阻输出,导通下拉电阻。另两个设置就是高阻加上上拉电阻或者只是上下拉电阻均不导通。</p>
  <p>在Vivado中也有相同的设置,必须在实现完成之后,打开Implementation Design之后选择bitstream Settings,其中在"Configure additional bitstream settings"中的Configuration栏中,有如下属性选择项。</p>
  <figure style="text-align:center;">
   <img src="https://img-blog.csdnimg.cn/img_convert/bcbd7c3da86ac809f0cb4c085dfe70bd.png" alt="bcbd7c3da86ac809f0cb4c085dfe70bd.png">
   <figcaption>
    图5
   </figcaption>
  </figure>
  <h3><a name="t5"></a><a name="t5"></a><span style="font-weight:bold;">专用IO</span></h3>
  <p>所有的专用配置引脚全部位于Bank0,包括CFGBVS、M[2:0]、TCK、TMS、TDI、TDO、PRORAM_B、INIT_B、DONE以及CCLK。专用引脚的含义就是无论在配置过程中还是配置完成之后,这些引脚无论在什么阶段都只用于配置。</p>
  <p>所以对于这些引脚考虑相对比较简单,分为输入信号和输出信号。输入信号的状态始终保持LVCMOS电平标准,电压值为VCCO(输入信号为什么也有电平标准要求,需要与Input buffer的供电电压相匹配,见图 2)。输出信号的状态始终保持LVCMOS电平标准,电压为VCCO,12mA drive,fast slew rate。</p>
  <h3><a name="t6"></a><a name="t6"></a><span style="font-weight:bold;">功能复用IO</span></h3>
  <p>相比于其他引脚,功能复用引脚的情况是最复杂的,这些管脚包括与配置相关的PUDC_B、EMCCLK、CSI_B、CSO_B、DOUT、RDWR_B、D00_MOSI、D01_DIN、D[00-31]、A[00-28]、FCS_B、FOE_B、FEW_B、ADV_B、RS0以及RS1;以及与System Monitor相关的AD0P至AD15P、AD0N至AD15N,I2C_SDA以及I2C_SCLK。</p>
  <p>为了说清楚功能复用管脚在不同阶段的状态,将复用管脚分为以下几类:</p>
  <ol><li><p>在当前所选择的功能中使用到的功能复用管脚,例如在选择BPI配置时的D[00-31]和A[00-28]。</p></li><li><p>在当前所选择的功能中没有使用到的功能复用管脚。例如在选择SPI配置时的D[00-31]和A[00-28]。</p></li><li><p>在完成配置之前需要作为输出或者双向,总之有可能向外输出信号的管脚,例如I2C_SDA和I2C_SCLK。</p></li></ol>
  <h1><a name="t7"></a><a name="t7"></a><span style="font-weight:bold;">实例</span></h1>
  <blockquote>
   <p>Pull-Up During Configuration (bar) Active-Low PUDC_B input enables internal pull-up resistors on the SelectIO pins after power-up and during configuration.</p>
   <p>• When PUDC_B is Low, internal pull-up resistors are enabled on each SelectIO pin.</p>
   <p>• When PUDC_B is High, internal pull-up resistors are disabled on each SelectIO pin.</p>
   <p>PUDC_B must be tied either directly, or via a ≤ 1kΩ to VCCO_14 or GND.</p>
   <p>Caution! Do not allow this pin to float before and during configuration.</p>
  </blockquote>
  <p>这是什么意思呢?如下图所示,小编在xilinx VC709开发板原理图上找到的PUDC_B引脚,以后参考使用即可。</p>
  <figure style="text-align:center;">
   <img src="https://img-blog.csdnimg.cn/img_convert/1fa7f4c79f052486b22cf0fcaecd917b.png" alt="1fa7f4c79f052486b22cf0fcaecd917b.png">
  </figure>
  <p>7系列的FPGA都包括一个 “PUDC_B”引脚。</p>
  <ul><li><p>当“PUDC_B”为低电平时,每个“SelectIO”引脚的内置上拉电阻都被开启。</p></li><li><p>当“PUDC_B”为高电平时,每个“SelectIO” 引脚的内置上拉电阻被关闭。自上电后,此引脚的电平状态一致影响I/O状态,直至配置完成。因此,上电之后,当PUDC为高电平时,I/O管脚一直保持三态。</p></li></ul>
  <h1><a name="t8"></a><a name="t8"></a><span style="font-weight:bold;">参考链接</span></h1>
  <p>问题链接:https://blog.csdn.net/fsFengQingYangheihei/article/details/51879078?utm_medium=distribute.pc_relevant.none-task-blog-BlogCommendFromMachineLearnPai2-1.nonecase&amp;depth_1-utm_source=distribute.pc_relevant.none-task-blog-BlogCommendFromMachineLearnPai2-1.nonecase</p>
  <p>原文参考链接:https://blog.csdn.net/techexchangeischeap/article/details/72698529</p>
  <p>xilinx 6系列参考手册 UG380:chrome-extension://ibllepbpahcoppkjjllbabhnigcbffpi/https://www.xilinx.com/support/documentation/user_guides/ug380.pdf</p>
  <p>xilinx 7系列参考手册 UG470:chrome-extension://ibllepbpahcoppkjjllbabhnigcbffpi/https://www.xilinx.com/support/documentation/user_guides/ug470_7Series_Config.pdf</p>
  <p>xilinx Ultra系列参考手册 UG580:chrome-extension://ibllepbpahcoppkjjllbabhnigcbffpi/https://www.xilinx.com/support/documentation/user_guides/ug580-ultrascale-sysmon.pdf</p>
  <img src="https://img-blog.csdnimg.cn/img_convert/6afc7df7176153ebff5fc74f188d031b.png" alt="6afc7df7176153ebff5fc74f188d031b.png">
 </div> 
</div>
                </div><div data-report-view="{&quot;mod&quot;:&quot;1585297308_001&quot;,&quot;dest&quot;:&quot;https://blog.csdn.net/weixin_39733812/article/details/111664221&quot;,&quot;extend1&quot;:&quot;pc&quot;,&quot;ab&quot;:&quot;new&quot;}"><div></div></div>
        </div>

  • 0
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值