systemverilog 接口理解interface

接口的含义就是一组信号,可以理解为一个类,interface里面的信号就是类的成员,其本质和类并无区别

全部使用时可以直接用来替换端口,

例如:

module a(input i_0, ouput_o_1);

endmodule

可以替换为如下:

interface intf();

     logic i_0;

     logic o_0;

endinterface

module a(intf my_if);

endmodule

假如interface中的信号没有被全部使用的话,并不能体现出其优势

例如

module b(ouput i_0);

endmodule

此时使用interface并无优势,但是也可以使用 b(intf my_if_b) 此时就相当于在端口上多申明的几个端口。

假如端口方向是在信号列表中的括号中定义的,那么使用interface将会丢失port的方向信息

所以引入了modport

 

  • 2
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值