$cast一般用在哪里?

前言

Systemverilog 是一种OOP的语言,经常在一些testbench中会看到 c a s t 的 身 影 , 那 什 么 时 候 才 会 用 到 cast的身影,那什么时候才会用到 castcast呢,为什么会需要这个?由此我们会引出很多有意思的问题。

问题1

  1. 父类handle是否可以指向子类实例?如果可以,通过这个父类handle,是否可以访问子类的成员?
  2. 子类handle是否可以指向父类实例?如果可以,通过这个子类handle,是否可以访问父类的成员?

我们通过一组仿真来解释上面的问题

class parent;
  int a = 1;
  function void display();
    $display(
  • 0
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值