DPI-C

What is DPI-C?

DPI-C 是systemverilog为了与其他语言(c/c++)进行交互而设计的机制,通过DPI-C可以完成:

  • SV call C function
  • C call SV function

Example

testbench.sv :

module dpi_test;
  export "DPI-C" function sv_print;
  import "DPI-C" context function void c_print();
  
  initial begin
    $display("Before calling API\n");
    c_print();
    $display("After calling API\n");
  end
  
	function void sv_print();
    	$display("sv side : print\n");
  	endfunction
  
endmodule

c_print.cpp:

#include "svdpi.h"
#include "stdio.h"

extern "C" void sv_print();
extern "C" void c_print() {
  printf("C side : print\n");
  sv_print();
}

vcs cmd:

vcs -timescale=1ns/1ns +vcs+flush+all +warn=all -sverilog testbench.sv c_print.cpp

restriction

  • DPI-C 不能import/export class methods
  • 3
    点赞
  • 11
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值