timescale

本文详细介绍了Verilog和SystemVerilog中的timescale预编译指令,包括时间单位和时间精度的定义,以及如何使用timeunit和timeprecision关键词。还讨论了在VCS编译选项中处理timescale的方法,并给出了多个示例来展示不同组件的时间规则应用。
摘要由CSDN通过智能技术生成

timescale

预编译

`timescale是Verilog、Systemverilog的预编译指令,按照编译顺序,在此指令之后编译的component (module/program/interface…)都要按照timescale中规定的时间规则进行仿真,直到出现下一个timescale,

timescale是跟编译顺序密切相关的。

定义方式:

`timescale time_unit / time_precision

有定义方式可知,timescale定义了两种时间规则:

  • time unit
  • time precision

time unit

描述仿真系统中,描述delay的时间单位。在仿真中:

delay = delay_value * time_unit

例如 time_unit = 10ns,那么在code中 #10,代表的是延时 10 * 10 = 100 ns

支持的时间单位有:
time unit string
而timeunit中时间粒度支持的是1,10,100。

  • 0
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值