关于vivado2017.4的IFFT的IP核仿真总结

本文详细介绍了在Vivado 2017.4中使用IFFT IP核的步骤,包括配置参数、数据输入输出以及调试过程中的关键点。强调了配置数据的有效时钟周期、循环前缀的处理、输入点数的准确性以及数据格式(二进制补码)的重要性。通过MATLAB与FPGA仿真结果的比较,调整并验证了IFFT变换的正确性。
摘要由CSDN通过智能技术生成

要做无线通信,必定会接触到傅里叶变换,要做傅里叶变换肯定会接触到IFFT变换,它将傅里叶变换的乘法和加法次数极大的缩减,而且在xilinx的IP中有关于IFFT的核,直接调用它可以缩短开发流程。
下面开始讲解vivado关于IFFT的IP核运用步骤:
1.要运用IP首先的明白几个参数
1).配置通道参数(s_config_valid/s_config_data/s_config_ready)
s_config_valid:拉高时表示配置参数有效。

s_config_data:配置参数(我们选取run simulatiom啥玩意,所以它只包含(PAD scl_scale FWD_INW PAD cycle前缀),SCL_SCALE表示缩放因子,毕竟你的IFFT经历那么多次乘法,加法,你的位宽的变,所以必须缩小,缩小多少具体情况,具体分析(后面会阐述),另外就是FWD_INW=1;表示FFT变化,=0表示IFFT变化,循环前缀根据你的需要)。

s_config_ready:表示从机做好了数据接收的准备,可以发数据了,只有

s_config_valid和s_config_ready同时有效时,数据才配置成功。

  1. 数据信息(s_data_valid/s_data_ready/s_data_tdata)
    s_data_valid/s_data_ready:与上述内容差不多。
    s_data_tdata:输入的数据,位宽由自己确定(低位表示实部,高位表示虚部)。
    3.变换后数据输出(m——-乱七八糟࿰
评论 18
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值