最简单VCS工程,加法器测试

该工程包括:full_adder.v、full_adder_tb.v、timescale.v和Makefile四个文件。https://github.com/leoicer/prj_verify/tree/main/eecourse/lab1_full_adder

//full_adder.v
module full_adder(
	input wire a_in,
	input wire b_in,
	input wire c_in,
	output wire sum_out,
	output wire c_out
);

assign {
   c_out,sum_out} = a_in + b_in + c_in;
endmodule
//full_adder_tb.v
module full_adder_tb;

reg  ain, bin, cin;
wire sumout, cout;

full_adder u_full_adder(
    .a_in(ain),
	.b_in(bin),
	.c_in(cin),
	.sum_out(sumout),
	.c_out(cout)
);

//task2: clk and rst generator
parameter  CLK_PERIOD = 20;

reg clk, reset_n;

initial begin
        clk = 0;
    forever begin
        #CLK_PERIOD clk = ~clk;                
    end
end

initial begin
    reset_n = 0;
    #100 
    reset_n = 
  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

狮子座硅农(Leo ICer)

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值