cordic算法verilog实现(复杂版)

 module cordic (clk,rst_n,ena,phase_in,sin_out,cos_out,eps);

parameter DATA_WIDTH=8; 
parameter PIPELINE=8; 
 input     clk; 
input     rst_n; 
input     ena; 
input  [DATA_WIDTH-1:0]         phase_in; 
 
output [DATA_WIDTH-1:0]  sin_out;   
output [DATA_WIDTH-1:0]  cos_out; 
output [DATA_WIDTH-1:0]  eps; 
 
reg    [DATA_WIDTH-1:0]  sin_out; 
reg    [DATA_WIDTH-1:0]  cos_out; 
reg    [DATA_WIDTH-1:0]  eps; 
 
reg    [DATA_WIDTH-1:0]  phase_in_reg; 
 
reg        [DATA_WIDTH-1:0]     x0,y0,z0; 
reg        [DATA_WIDTH-1:0]  x1,y1,z1; 
reg        [DATA_WIDTH-1:0]  x2,y2,z2; 
reg        [DATA_WIDTH-1:0]  x3,y3,z3; 
reg        [DATA_WIDTH-1:0]  x4,y4,z4; 
reg        [DATA_WIDTH-1:0]  x5,y5,z5; 
reg        [DATA_WIDTH-1:0]  x6,y6,z6; 
reg        [DATA_WIDTH-1:0]  x7,y7,z7; 
 
reg        [1:0]   quadrant[PIPELINE:0]; 
 
integer i; 
 
//get real quadrant and map to first_n quadrant 
 
always@(posedge clk or negedge rst_n) 
begin 
        if(!rst_n) 
               phase_in_reg<=8'b0000_0000; 
        else 
               if(ena) 
                       begin 
                               case(phase_in[7:6]) 
                                      2'b00:phase_in_reg<=phase_in; 
                                      2'b01:phase_in_reg<=phase_in-8'h40;  //-pi/2 
                                      2'b10:phase_in_reg<=phase_in-8'h80;  //-pi 
                                      2'b11:phase_in_reg<=phase_in-8'hc0;  //-3pi/2 
                                      default:; 
                                endcase 
                        end 
end 
 
always@(posedge clk or negedge rst_n) 
begin 
  • 1
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值