verilog实现二进制计数器,约翰逊(Johnson)计数器,环形计数器

这篇博客详细介绍了如何使用Verilog语言实现4位二进制计数器、约翰逊计数器和环形计数器,包括异步复位和同步复位的实现。提供了相应的Verilog代码和仿真结果,对比了三种计数器的特点。
摘要由CSDN通过智能技术生成

https://github.com/zsylov/verliog-study/blob/master/2019.5.6%E8%AE%A1%E6%95%B0%E5%99%A8.md

用verilog实现一个4bit二进制计数器。
====
a) 异步复位

b) 同步复位

input clk, rst_n; 

output [3:0] o_cnt;
```verilog
`timescale 1ns/1ps
module Syn_counter(
                input clk,rst_n,
                output[3:0] o_cnt
                    );
  reg [3:0] o_cnt_r;
  always @ (posedge clk)
    if(!rst_n)
      o_cnt_r <= 4'b0000;
      else if(o_cnt_r == 4'b1111) o_cnt_r <= 4'b0000;
    else
      o_cnt_r <= o_cnt_r + 1'b1;
  assign o_cnt =o_cnt_r;
endmodule

`timescale 1ns/1ps
module aSyn_counter(
                input clk,rst_n,
                output[3:0

  • 4
    点赞
  • 52
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值