ZYNQ学习_1-FPGA实现I/O点亮LED灯

ZYNQ学习_1-FPGA实现I/O点亮LED灯


前言

这里用于记录小白的FPGA学习过程
使用的硬件平台:ZYNQ7020
参考资料:ALINX 《ZYNQ开发平台教程》
开发工具:Vivado_2018.03


一、学习目标

实现效果:
1.开发板上LED灯按1Hz的频率正常闪烁;

硬件资源:

名称 引脚 描述
LED1 M14 I/O输出
LED2 M15 I/O输出
LED3 K16 I/O输出
LED4 J16 I/O输出
rst_n N15 I/O按键用作复位引脚
sys_clk U18 时钟输入引脚

学习内容:
1. Vivado工程创建
2. 编写Verilog语言
3. 添加引脚绑定
4. 时序约束
5. 仿真实现
6. 程序下载
7. 在线ILA调试

二、详细实现步骤

1.创建Vivado工程

  • 点击“Create Project”,选择“next”,设置路径与工程名称,
    在这里插入图片描述

  • 选择"RTL Project"
    在这里插入图片描述

  • 选择产品系列,点击Finish
    在这里插入图片描述

2.创建VerilogHDL文件

  • 选择“Add Sources”,选择“Add or create design sources”,选择下一步

  • 0
    点赞
  • 16
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值