FPGA实验之串口发送

FPGA实验之串口发送

标准的串口数据格式包括:

l  起始位:是一个值位’0’的逻辑值

l  数据位:8位二进制数

l  校验位:1位,可以奇校验也可以是偶校验(也可以不加)。

l  停止位:一串数值发送结束的标志

波特率:单片机或计算机在串口通信时的速率。比如:9600bps表示每秒传输9600个二进制位。

数据传输顺序为:起始位(1位)+数据位(8位)+校验位(1位)+停止位(1位)

(1)   建立工程

(2)   编写程序

moduleUART_send(input CLK,RST,call_send,//使能发送信号    晶振50MHz

                                     input[7:0]data,//需要发送的8为数据

                                     output send_done,TXD);//发送完成的信号    TXD输出信号

//parameterBPS9600=13'd5208; //每位数据传输时间为t=1/9600 s,周期T=1/50000000,计数值为t/T=5208

parameter BPS115200=9'd434;//每位数据传输时间为t=1/115200 s,周期T=1/50000000,计数值为t/T=434

reg [31:0]C1,ii;

reg txd,odd_data;//odd_data为奇校

  • 3
    点赞
  • 10
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值