VHDL的元件例化

2 篇文章 0 订阅
2 篇文章 0 订阅

元件声明

COMPONENT 元件名
	[GENERIC<参数说明>;]
	PORT<端口说明>;
END COMPONENT;

元件例化

三种关联方式

1.位置关联
这种方式中,信号要放在原件定义中所对应的位置上。

元件名 port map(信号1, 信号2, ……);

2.名字关联
信号关联式形如: a => a1
意思是将元件的引脚a与调用该元件的端口a1相关联。这种情况下,位置可以是任意的。

元件名 port map(信号关联式1, 信号关联式2, ……);

3.混合关联
位置关联和名字关联结合使用,即为混合关联。

两种调用方式

1.在调用文件里声明元件,声明语句放在结构体的begin前。
2.将声明语句放在程序包里。

生成语句

生成语句(GENERATE)可以用来产生多个相同的结构,能避免重复书写。他有两种形式,一种是FOR-GENERATE,另一种是IF-GENERATE

--FOR-GENERATE模式

[标号:]FOR 循环变量 IN 离散范围 GENERATE
	<并行处理语句>;
END GENERATE[标号];
  • 3
    点赞
  • 11
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值