SystemVerilog语法基础——4电路语句(二):元件例化语句

本文介绍了Verilog中模块声明和元件例化的基本概念,包括如何使用模块来实现层次化设计和功能区分,以及如何通过代码复用提高效率。重点讲解了输入输出声明、模块结构和例化语法,如正确连接端口和信号的示例。
摘要由CSDN通过智能技术生成

电路语句(二):元件例化语句

前言

视频语法学习:B站链接
笔记原地址:https://github.com/Tan-YiFan/DigitalLogic-Autumn2020/tree/syntax/syntax网络不好,可能打不开。

需求:

  1. 层次化设计、功能区分
  2. 代码复用
  3. 黑盒

一、模块声明

verilog语法

module adder (
	// inputs and outputs declaration
    input logic [3:0] a, b,
    output logic [3:0] c
);
    // circuit code
    assign c = a + b;
endmodule

二、电路语句:元件例化

logic [3:0] b, c;//声明语句
//logic [4:0] c;//错误语法
adder adder_inst0(.a(4'b0010), .*); // assign c = b + 4'b0010;
//模块名  元件名/实例名(.模块的端口名(外部的信号名))
// modulename instancename(.portname1(value1), .portname2, .*);
// adder adder_inst1(.a[0]());//错误语法,.a[0]不是端口名

进一步解释

.a(4'b0010)		//相当于a=4'b0010
.b	 			//相当于.b(b)
.*				//剩下的所有端口,接的都是同名信号,端口和外部同名信号,位宽一致。如果这里c是5位(//logic [4:0] c;),则会报错。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值