SystemVerilog语法基础——4电路语句(二):元件例化语句

电路语句(二):元件例化语句

前言

视频语法学习:B站链接
笔记原地址:https://github.com/Tan-YiFan/DigitalLogic-Autumn2020/tree/syntax/syntax网络不好,可能打不开。

需求:

  1. 层次化设计、功能区分
  2. 代码复用
  3. 黑盒

一、模块声明

verilog语法

module adder (
	// inputs and outputs declaration
    input logic [3:0] a, b,
    output logic [3:0] c
);
    // circuit code
    assign c = a + b;
endmodule

二、电路语句:元件例化

logic [3:0] b, c;//声明语句
//logic [4:0] c;//错误语法
adder adder_inst0(.a(4'b0010), .*); // assign c = b + 4'b0010;
//模块名  元件名/实例名(.模块的端口名(外部的信号名))
// modulename instancename(.portname1(value1), .portname2, .*);
// adder adder_inst1(.a[0]());//错误语法,.a[0]不是端口名

进一步解释

.a(4'b0010)		//相当于a=4'b0010
.b	 			//相当于.b(b)
.*				//剩下的所有端口,接的都是同名信号,端口和外部同名信号,位宽一致。如果这里c是5位(//logic [4:0] c;),则会报错。
  • 1
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
SystemVerilog是一种硬件描述语言(HDL),用于描述数字电路的行为和结构。它是Verilog语言的扩展,引入了许多新的特性和语法。 以下是SystemVerilog的一些基础语法: 1. 模块定义:使用`module`关键字定义模块,模块是SystemVerilog中的基本构建单元。如: ``` module MyModule(input wire clk, input wire rst, output reg data); // 模块内部逻辑 endmodule ``` 2. 端口声明:模块的输入输出端口使用`input`和`output`关键字声明,可以使用`wire`或`reg`类型进行声明。如: ``` input wire clk; // 输入端口 input wire rst; output reg data; // 输出端口 ``` 3. 数据类型:SystemVerilog支持多种数据类型,包括整数、浮点数、位向量、数组等。如: ``` int a; // 整数类型 real b; // 浮点数类型 bit [7:0] c; // 8位位向量类型 reg [3:0] d; // 4位寄存器类型 int e [3:0]; // 整数数组类型 ``` 4. 运算符:SystemVerilog支持常见的运算符,包括算术运算符、逻辑运算符、位运算符等。如: ``` a = b + c; // 加法 d = a & b; // 位与 e = (a > b) ? c : d; // 条件运算符 ``` 5. 控制语句SystemVerilog支持常见的控制语句,如条件语句、循环语句等。如: ``` if (a > b) begin // 执行语句 end else if (a < b) begin // 执行语句 end else begin // 执行语句 end for (int i = 0; i < 10; i++) begin // 循环体语句 end while (condition) begin // 循环体语句 end ``` 这些是SystemVerilog的一些基础语法,还有其他更高级的特性和语法可以用于描述复杂的数字电路。希望这些信息对你有帮助!如果你还有其他问题,请继续提问。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值