Linux下安装FPGA联合仿真工具vcs+verdi常见问题解决方法

VCS是Synopsys推出的FPGA仿真工具,具有行业内最高的模拟性能,结合波形查看工具Verdi能够实现Linux下FPGA的联合仿真,本文没有讲解详细的VCS+Verdi的安装,只是将笔者当时安装的大体流程以及遇到的问题公开一下以供大家参考

之前一直使用modelsim以及vivado自带的仿真工具进行FPGA仿真,后来在朋友推荐下尝试使用VCS+Verdi在Linux下进行仿真,但是VCS的安装需要很多依赖安装比较麻烦,尝试使用了ubuntu14、ubuntu18以及ubuntu20三个版本的操作系统,尝试安装了vcs+verdi 2016和2018两个版本的软件最终才安装成功,本文是当时安装过程中做的简单记录,原本想重新整理一下安装流程再上传,无奈这个是两年前的工作了,很多细节都回忆不起来了,文档结构比较凌乱不适合系统阅读,如果出现文中类似的问题可以参照一下

建立共享文件夹

  • 关闭系统numlock防止光标聚焦时弹窗:运行OSK打开虚拟键盘关闭numlock

  • 更新源进行源更新和软件更新

  • 安装open-vm-tools

    sudo apt upgrade
    sudo apt install open-vm-tools-desktop -y
    sudo reboot
    

第一次使用sudo之前需要设置root密码

sudo passwd root
  • 可以复制文字与文件,但/mnt/仍然找不到hgfs文件夹

    重新安装open-vm-tools之后需要重新到vm选项卡开启共享文件夹

    查看是否存在共享文件夹,若存在会返回结果

    sudo vmware-hgfsclientc
    
  • ubuntu挂载共享文件夹

    vmhgfs-fuse .host:/ /mnt/hgfs -o subtype=vmhgfs-fuse,allow_other
    
  • 开启共享文件夹后可以在mnt文件夹下找到,建立镜像,重启后找不到共享文件夹,自动挂载共享文件夹方法参考:https://blog.csdn.net/weixin_50603017/article/details/122309067

  • 检查是否存在共享文件夹

    sudo vmware-hgfsclientc
    
    shixin@ubuntu:~/Desktop$ sudo vmware-hgfsclientc
    [sudo] password for shixin: 
    sudo: vmware-hgfsclientc: command not found
    
  • 尝试利用iso文件重新安装vm-tools,出现共享文件夹,重启后依然存在,建立快照

  • 在用户目录下创建快捷方式

    ln -s /mnt/hgfs/ubuntu20/ /home/shixin/
    

安装vcs和verdi 2016遇到的问题

需要将安装文件从共享文件夹移动到ubuntu才能进行安装

文件带锁,表示当前用户没有操作权限,进行赋权操作

sudo chmod -R 777 [file-name]

bash: ./setup.sh:/bin/csh:解释器错误: 没有那个文件或目录,需要安装csh

sudo apt-get install csh

image-20220627151139988

安装gcc-4.8和g+±4.8https://blog.csdn.net/feinifi/article/details/121793945

gpg报错https://blog.csdn.net/qq_41547659/article/details/117430411

开放27000端口https://blog.csdn.net/Ztrans/article/details/88757695

安装vcs+verdi 2018遇到的问题

  • 参考:https://blog.csdn.net/qq_41717683/article/details/122267191

  • 通过共享文件夹拷贝安装文件,建立软件安装目录,建立快照

  • 安装软件安装器

    • 软件安装器目录锁定,需要赋权

      sudo chmod -R 777 [file-name]
      
  • 通过软件安装器安装vcs、verdi、scl

  • 生成license并修改路径,建立快照

  • 修改环境变量

    #export export SYNOPSYS="/usr/software/synopsys"
    export VCS_TARGET_ARCH="amd64"
    export PATH="/home/shixin/synopsys/vcs/vcs/O-2018.09-SP2/gui/dve/bin:"$PATH
    export DVE_HOME="/home/shixin/synopsys/vcs/vcs/O-2018.09-SP2/gui/dve"
    export PATH="/home/shixin/synopsys/vcs/vcs/O-2018.09-SP2/bin:"$PATH
    export VCS_HOME="/home/shixin/synopsys/vcs/vcs/O-2018.09-SP2"
    #export VCS_ARCH_OVERRIDE="linux"
    #verdi
    export PATH="/home/shixin/synopsys/verdi/verdi/Verdi_O-2018.09-SP2/bin:"$PATH
    export VERDI_HOME="/home/shixin/synopsys/verdi/verdi/Verdi_O-2018.09-SP2"
    export LD_LIBRARY_PATH="/home/shixin/synopsys/verdi/verdi/Verdi_O-2018.09-SP2/share/PLI/lib/LINUX64":$LD_LIBRARY_PATH
    export VERDI_DIR="/home/shixin/synopsys/verdi/verdi/Verdi_O-2018.09-SP2"
    export NOVAS_INST_DIR="/home/shixin/synopsys/verdi/verdi/Verdi_O-2018.09-SP2"
    export NPI_PLATFORM="LINUX64_GNU_472"
    export LD_LIBRARY_PATH="$NOVAS_INST_DIR/share/NPI/lib/LINUX64_GNU_520":$LD_LIBRARY_PATH
    export NOVAS_HOME="/home/shixin/synopsys/verdi/verdi/Verdi_O-2018.09-SP2"
    
    #LICENSE
    export SNPSLMD_LICENSE_FILE="/home/shixin/synopsys/license/Synopsys.dat"
    export SNPSLMD_LICENSE_FILE=27000@ubuntu
    export LM_LICENSE_FILE="/home/shixin/synopsys/license/Synopsys.dat"
    
    alias lmli="/home/shixin/synopsys/scl/scl/2018.06/linux64/bin/lmgrd -c /home/shixin/synopsys/license/Synopsys.dat"
    #SCL
    export PATH=/home/shixin/synopsys/scl/scl/2018.06/linux64/bin:$PATH
    alias dve="dve -full64 &"
    alias vcs64="vcs -full64"
    alias verdi="verdi -full64 &"
    
  • 检查license有效性

  • 安装32位运行库lsb

    apt-get install lsb 
    
  • 运行lmli出现错误

    lmgrd can’t make directory /usr/tmp/.flexlm when running server on Ubuntu

    sudo -i
    mkdir /usr/tmp/
    chmod 777 /usr/tmp/
    
  • 由于前面lmli没有启动成功,但是端口依旧占用,需要释放端口

    lmdown
    ps auf | grep lmgrd
    kill -9 2381
    
  • 启动verdi时遇到错误,安装libpng12后依旧报错

    sh与bash的解释问题,重新链接

    rm -f /bin/sh
    ln -s /bin/bash /bin/sh
    
  • 安装成功

  • 配置开启加载license

    cd /etc/profile.d/ 
    sudo touch lmli.sh
    sudo chmod 777 lmli.sh
    
    `/home/shixin/synopsys/scl/scl/2018.06/linux64/bin/lmgrd -c /home/shixin/synopsys/license/Synopsys.dat -l /home/shixin/synopsys/scl/scl/2018.06/linux64/bin/lmgrd.log`
    
  • 测试文件中makefile中有一个中文冒号会报错,需要修改

  • 测试文件缺少file.f文件,其中包含工程所有文件及路径,需要新建文件

  • 完成安装与测试!建立快照

安装vivado2019

安装neovim
  • 0
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

RaderPro

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值