【学习笔记】FPGA基础1——Veriog语法

一、逻辑值

Verilog中变量只有四种状态

  1. 0低电平
  2. 1高电平
  3. X表示未知(但存在)
  4. Z表示悬空状态(未知就真的是未知)

二、数字进制格式

4'b0101
4'd2			//4表示2进制数的位宽
4'ha			//若没有指定位宽,则默认为32位(2进制)数据
16'b1001_1010_1010_1001=16'h9aa9

三、标识符(类似C语言变量名)

  1. 标识符可以是任意一组字母、数字、$符号和下划线的组合
  2. 标识符第一个字符必须是字母或者下划线
  3. 标识符是区分大小写的
  4. 建议普通内部信号全部小写

四、数据类型

Verilog中数据类型有:寄存器类型、线网类型、参数类型。真正在数字电路中起作用的数据类型应该是寄存器数据类型线网数据类型,因为这两个数据类型可以直接映射在电路中

寄存器类型
  1. 表示一个抽象的数据存储单元,关键字为reg,初始值为不确定值X
reg [31:0] delay_cnt;	// [31:0] 为位宽,不表明则默认为位宽1,不可赋初值。
  1. reg类型的数据只能在always语句和initial语句中被赋值
  2. 如果always中带有时钟信号,则该寄存器变量对应为触发器,如果always中没有时钟信号,则该寄存器为硬件连线
线网类型

线网类型包括wire和tri型,他们有什么区别呢?
线网数据类型包括wire和tri等,wire最常见,不必多说,很多情况下直接声明为wire即可。
至于tri其实和wire在用法上是一模一样的,不过有时候,我们需要定义一些会被三态门驱动的硬件连线,用tri来命名会让代码更具有可读性,让人一看就知道这根连线上会出现Z状态,仅此而已!
回答来自:正点原子开源电子论坛

  1. 表示结构实体(例如门)之间的物力连线
  2. 变量不能存储值,它的值是由驱动它的元件所决定的,驱动线网变量的元件有:门、连续赋值语句、assign等
  3. 若无驱动原件连在线网类型,则为高阻态
  4. 位宽和reg定义一样
参数类型(类似于C语言的define)
  1. 实际就是一个常量,用parameter定义
    parameter H_SYNC = 11'd41
  2. 参数类型数据定义状态机的状态、数据位宽、延时大小。可通过参数传递改变被调用模块已定义的函数,类似C语言的调用函数对模块赋值

五、运算符

  1. 算数运算符
    加减乘除法只能实现整数运算(+、-、*、/)
    %(a%b即取模)

  2. 关系运算符
    <= >= 等C语言中的比较运算符

  3. 逻辑运算符
    ! && ||逻辑与或操作

  4. 条件运算符
    Verilog中条件运算符就一个

?  :
a?b:c
  1. 位运算符
    对每一位进行操作
    不同位宽,小位宽变量高位补零再运算
    ~、&、|、^

  2. 移位运算符
    空位补零

4'b1001<< 2 = 6'b100100;	//左移位宽增加
4'b1001>> 1 = 4'b0100;		//右移位宽不变
  1. 拼接运算符
{ , }:
{a,b}				//将a和b拼接起来作为一个新信号
c={a,b[3:0]};		//a、b均为8位,则是将8位a和b的第3到第0位拼在一起,结果是c[11:0]

学习视频:正点原子FPGA系列教程Verilog语法篇

### 回答1: 《FPGA自学笔记——设计与验证》是一本关于FPGA设计和验证的入门教材。本书以VHDL和Verilog两种HDL语言为主要工具,通过实例讲解FPGA的基本概念、设计流程和验证方法。同时,本书还通过实例演示了如何使用Xilinx ISE和ModelSim这两个主流软件工具进行FPGA设计和验证。 本书的内容分为五个部分,分别是FPGA基础知识、FPGA设计流程、FPGA验证方法、FPGA性能优化以及FPGA应用实例。其中,FPGA基础知识部分介绍了FPGA的基本构成、组成部件以及通用数字电路设计知识;FPGA设计流程部分从设计输入、RTL设计、布局布线、实现生成等方面详细介绍了FPGA设计流程;FPGA验证方法部分主要介绍了功能验证和时序验证这两个方面的知识;FPGA性能优化部分介绍了FPGA的几种性能指标以及如何通过一定的优化方法提高FPGA性能;FPGA应用实例部分通过几个实例演示了如何应用FPGA进行数字电路设计。 本书的难度适中,适合初学者学习和参考,同时也可以作为FPGA初学者的参考书籍。本书涉及的知识点较为全面,可以为初学者提供一个全面的FPGA设计和验证入门指南。其内容易于理解,实例讲解深入浅出,对于想要学习FPGA设计和验证的人群来说是一本很好的参考书。 ### 回答2: 《FPGA自学笔记——设计与验证》PDF是一本很好的自学FPGA的书籍。这本书包含了FPGA基本概念、设计流程、Verilog HDL语言、开发工具、测试方法等多个主题,非常详尽地介绍了FPGA的基本知识和开发技巧。读这本书可以帮助我们更好地理解FPGA的原理和功能,从而更加熟练地掌握FPGA的设计和验证。 此外,这本书还提供了很多实例来帮助我们更好地理解FPGA的设计和验证。这些实例包含多种应用场景,例如数字逻辑、时序控制、通信等,能够帮助我们从不同角度学习FPGA的相关知识。而且,这本书还提供了实验指导,通过做实验来让我们更深入地理解FPGA的各种知识和技能。 总之,这本书《FPGA自学笔记——设计与验证》PDF是一本非常好的FPGA自学指南,通过阅读这本书,我们可以掌握FPGA基本知识和开发技能,更好地应用FPGA进行各种应用开发。我相信,读完这本书,你一定能够对FPGA有更深刻的认识,并且能够灵活运用FPGA进行各种应用开发。 ### 回答3: 《FPGA自学笔记——设计与验证》是一本以FPGA为研究对象的书籍。它详细介绍了FPGA的诸多特性和应用。该书主要分为两部分,第一部分介绍了FPGA的基本概念,并讲解了Verilog语法和使用方法。第二部分是实践性较强的部分,通过编写案例代码进行实际操作。 该书着重强调了FPGA设计流程,通过案例演示了FPGA设计的全过程。该书还提供了大量的练习题和案例代码,读者可以通过反复练习和实际操作,逐渐掌握FPGA的设计和验证技能。 总体来说,《FPGA自学笔记——设计与验证》是一本非常实用的FPGA入门教材。它从基础知识入手,循序渐进地讲解了FPGA的各个方面。并且,该书重点讲解了如何运用Verilog语言进行FPGA设计,这对FPGA初学者来说是一个非常实用的指南。 如果你对FPGA领域感兴趣,且希望通过自学来掌握FPGA的基本操作和设计方法,那么《FPGA自学笔记——设计与验证》是一本非常值得推荐的书籍。  
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值