基于UVM的UART验证环境

本文分享了一个基于UVM的16550A UART验证环境,包括UVM基本使用、进阶的UVM寄存器模型。DUT为16550A UART模块,具备APB、UART接口及状态信号。提供了协议监控模块、环境集成、sequence等,并给出了在Linux和Windows下运行仿真的步骤,以及如何生成覆盖率报告。
摘要由CSDN通过智能技术生成

今天偶然在群里看到有人分享了Mentor Graphics提供的一个UART的UVM验证环境代码,包含了UVM的基本使用以及进阶的UVM寄存器模型。这里也分享给大家。

文件说明

agents/apb_agent - APB agent used in the UVM testbench
agents/uart_agent - UART agent user in the UVM testbench
agents/modem_agent - UART Modem interface agent, used in the UVM testbench
docs
protocol_monitor - contains an example APB protocol monitor
rtl - Contains the UART RTL source code***
sim - Simulation directory for the example, contains the Makefile
uvm_tb/tb - Top level testbench
uvm_tb/tests
uvm_tb/virtual_sequences
uvm_tb/sequences
uvm_tb/register_model
uvm_tb/env - contains the functional coverage monitors described in the cookbook

DUT是16550A UART模块,接口主要包含apb、uart以及一些状态信号,agents下是不同接口的agent。16550A UART推荐大家自己搜索下载详细的spec。

docs是uart模块的简单说明,包含了一些寄存器的说明</

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值