基于UVM的uart串口验证平台(1)

此次系列的博客主要是给uart的接收模块搭建一个简单的uvm验证平台,帮助对UVM方法学的理解与掌握,首先第一部分是编写验证平台的顶层top.sv,该部分包括以下几个部分

  1. 时钟复位信号的产生。
  2. 接口例化,并通过config_db机制将接口set到平台的agent中。
  3. dut例化。
  4. 波形dump。
  5. run_test()调用。

关于uart相关内容在此处不赘述,网上资料很多,大家可以学习。
代码如下

`timescale 1ns/10ps
`include "include/uart_intfs.incl"


module top();
	import uvm_pkg::*;
	bit clk;
	bit rst_n;

	uart_intf uart_if(clk);

	always #10 clk = ~clk;//50MHz
	initial begin
		uvm_config_db #(virtual uart_intf)::set(null,"uvm_test_top.env.in_agent","uart_if",top.uart_if);
		uvm_config_db #(virtual uart_intf)::set(null,"uvm_test_top.env.out_agent","uart_if",top.uart_if);
		$timeformat(-9,3, "ns",12);
		run_test();
	end


	uart_rx uart_rx_u(
		.clk         (clk              ),
		.rst_n       (rst_n            ),
		.uart_rx     (uart_if.dt       ),
		.rx_vld      (uart_if.rx_vld   ),
		.rx_dt       (uart_if.rx_dt    )
    );

	initial begin
	    `ifndef NO_DUMP_FSDB
	        $fsdbAutoSwitchDumpfile(1024,"uart",3);
	        $fsdbDumpvars(0,top,"+all");
	        $fsdbDumpflush();
	    `endif
	end
endmodule

注:这里写的代码都是现场一个字一个字敲得,如果有错误,还请大家帮忙指正出来,谢谢。如果代码编译仿真有问题,可以私聊,有时间可以帮忙调试下。

  • 3
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
### 回答1: 你可以使用UVM(Universal Verification Methodology)搭建UART(Universal Asynchronous Receiver/Transmitter)验证环境,以确保验证设计的功能和性能。 ### 回答2: 搭建 UVM (Universal Verification Methodology) UART (通用异步收发传输器) 验证环境主要包括以下步骤: 1. 项目初始化:创建新的工程,包括一个顶层模块和相关接口文件。 2. 设计验证计划:制定验证计划,确定测试目标、功能和约束。 3. 编写顶层模块:使用硬件描述语言 (HDL) 编写顶层模块,包括 UART 和相关模块的连接和实例化。 4. 编写接口文件:定义 UART 的接口和信号,包括时钟、数据和控制信号。这些接口将用于建立 UVM 环境和连接到测试模块。 5. 创建 UVM 环境:使用 UVM 架构创建 UVM 环境,包括顶层测试、验证组件、代理等。在环境中,验证组件负责生成和处理测试案例。 6. 编写 UVM 测试用例:根据验证计划编写测试用例,测试 UART 在不同数据传输速率、数据位和校验方式下的功能。 7. 建立相关功能模型:建立 UART 的功能模型,并验证其与实际硬件的一致性和正确性。 8. 编译和仿真:使用编译器编译代码,并进行仿真。在仿真过程中,验证组件将生成测试数据并将其发送到 UART,然后验证数据的正确传输和接收。 9. 验证结果分析:分析仿真结果,检查测试用例是否通过,UART 是否按照预期工作。如果存在错误、冲突或其他异常,需要调试和修复。 10. 重复测试:根据需要,对修改后的设计和环境进行多轮测试,确保 UART 的正确性和稳定性。 通过以上步骤,我们可以搭建一个完整的 UVM UART 验证环境,并对其功能和性能进行全面的验证。这样可以确保 UART 设计能够按照规格要求正确工作,并在后续使用中提供可靠性和稳定性。 ### 回答3: UVM(Universal Verification Methodology)是一种全面的验证方法学,可以用于开发和验证数字电路设计。在使用UVM搭建UART(通用异步收发器)验证环境时,可以按照以下步骤进行: 1. 编写UVM测试环境:首先,需要创建一个UVM测试环境,其中包含顶层测试类(test class)以及控制器、驱动程序和监视器等组件。测试类负责初始化和控制整个测试过程,控制器负责发送驱动程序生成的数据到UART,驱动程序负责将数据发送到验证对象,监视器则用于监控UART接收到的数据。 2. 实现用户自定义接口:根据UART的规格与设计要求,定义自己的用户自定义接口(user-defined interface),将其与UVM测试环境中的其他组件进行连接。 3. 配置UVM测试环境:根据测试要求和需求,对UVM测试环境进行配置。可以通过配置文件或者参数传递的方式,配置各个组件的属性、数据和操作。 4. 编写UVM驱动程序:在驱动程序中,通过用户自定义接口将数据发送到设计对象。驱动程序负责生成和管理数据流,以及将数据流传递给模块中的验证对象进行验证。 5. 编写UVM监视器:监视器用于监控用户自定义接口,抓取UART接收到的数据,并将其传递给验证环境中的其他组件进行分析和验证。 6. 进行仿真:将编写好的UVM验证环境与设计对象进行连接,并进行仿真。在仿真过程中,UVM测试环境将生成的数据发送到UART,并通过监视器监控接收到的数据。 7. 分析和验证结果:利用UVM测试环境中的分析组件或者写日志的方法,针对接收到的数据进行分析和验证。可以通过比较期望结果和实际结果的方式,判断UART的功能是否符合设计要求。 在搭建UART验证环境时,使用UVM可以提供高度的可重用性和模块化,使验证工作更加简洁和高效。通过按照以上步骤进行搭建和仿真验证,可以有效地验证UART设计的正确性和性能。
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值