Verilog读取文本中的数据和输出数据到文本

由于经常要用到,但是每次都要东拼西凑的去回忆如何写代码。所以还是整理下,以后用的时候就直接看这篇文章了;

1、读取文本数据

读取文本数据​都是先将数据写到mem中,然后在通过控制men的地址来一个一个的取数。示例代码如下:

​reg  [11:0] data_src_mem [0:1023] ;//定义一个位宽为12bit,深度为1024的mem

reg  [9:0]   mem_addr                     ;//定义mem的地址

wire [11:0] data                               ;​

initial​

begin

    $​readmemh("path/data.txt",data_src_mem);//将path路径下得data.txt的数据以十六进制的形式写入到data_src_mem中                                                                       

end​​

always @(posedge clk or negedge rst_n)

begin

    if(!rst_n)

        mem_addr <= 10'd0 ;

    else

        mem_addr <= mem_addr + 10'd1 ;

end

​assign data = data_src_mem[mem_addr];

​$​readmemh是以十六进制的形式写入,还可以用$​readmemb表示以二进制的形式写入; 


2、输出数据​到文本

​integer out_file;//定义文件句柄

initial

begin​

    out_file = $fopen("path/out_put_file.txt","w");​//获取文件句柄

end​

always @(条件)

……​

    $fwrite(out_file,"%d",$signed(reg_data))​​;

……

 $fwrite和$fdisplay的区别,$fwrite写下一个数不会自动转行,可以加\n来转行,$fdisplay则会自动转行;

  • 20
    点赞
  • 69
    收藏
    觉得还不错? 一键收藏
  • 4
    评论
下面是一个简单的 Verilog testbench 代码,可以读取文本文件数据作为输入数据进行仿真: ```verilog `timescale 1ns / 1ps module tb; reg clk; reg reset; reg [7:0] data; // 文件句柄 integer infile; // 仿真时间 integer sim_time; // 仿真结束时间 parameter SIM_END_TIME = 1000; // 模块实例化 your_module dut(.clk(clk), .reset(reset), .data(data)); // 时钟生成器 always #5 clk = ~clk; // 重置信号 initial begin reset = 1; #10 reset = 0; end // 读取数据文件 initial begin // 打开文件 infile = $fopen("data.txt", "r"); // 检查文件是否存在 if (!infile) begin $display("Error: Could not open data file."); $finish; end // 读取数据并进行仿真 while (!$feof(infile)) begin // 读取一行数据 $fscanf(infile, "%h", data); // 输出数据 $display("Data = %h", data); // 模块输入赋值 #10 data = data + 1; // 增加仿真时间 sim_time = sim_time + 10; // 运行仿真到下一个时钟上升沿 #5; end // 关闭文件 $fclose(infile); end // 停止仿真 always @(posedge clk) begin if (sim_time >= SIM_END_TIME) begin $finish; end end endmodule ``` 在上面的代码,我们首先定义了一个 `reg [7:0] data` 信号作为模块的输入数据。然后通过 `integer infile` 定义了一个文件句柄,用于打开数据文件并读取数据。我们使用 Verilog 内置的 `$fopen()` 和 `$fclose()` 函数来打开和关闭文件,并使用 `$feof()` 函数来检查是否到达文件结尾。 在 `initial` 代码块,我们使用 `$fscanf()` 函数读取文件的一行数据,并将其赋值给 `data` 信号。然后进行仿真,并在每次仿真结束后增加仿真时间 `sim_time`,直到达到设定的仿真结束时间 `SIM_END_TIME`。 最后,我们使用 `always @(posedge clk)` 代码块来监测时钟上升沿,并在达到设定的仿真结束时间时调用 `$finish` 函数来停止仿真。
评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值