Verilog语言中怎么将仿真代码生成的数据导入txt文件中

此处需要注意verilog语言的文件路径和软件语言的文件路径的斜杠是相反的   

 initial  
begin 
    fp_w=$fopen("D:/aaaaa/out.txt","wb");//以写的方式打开文件  
end 

always@(posedge clk_p or negedge rst_n)
begin
    if(!rst_n)
    begin
        cnt <= 'b0;
    end
    else if(out_tvalid)
    begin
        cnt <= cnt +16'd1;
    end
    else
    begin
        cnt <= cnt;
    end
end


reg [15:0] count;

always@(posedge clk_p)
begin
    if((cnt < 10000)&&out_tvalid)
    begin
        $fwrite(fp_w,"%4x\n",out);
        count <= count +1'd1;
    end
    else if(cnt>= 10000)
    begin
         $fclose(fp_w);
    end  
    else
    begin
        count <= count;
    end
end

参考文章:Verilog读写文件 - 码农教程

  • 1
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值