modelsim的自动化仿真

一.功能仿真

代码,需要先在modelsim中建立一个project

#此处是注释
#退出当前仿真功能
quit -sim
#清楚命令行显示信息
.main   clear

# ./ 你所建项目的根目录
vlib ./lib
# work可以取其他名字
vlib ./lib/work    
# 与上面的库名字相对应
vmap work ./lib/work  
# 逻辑工作库 实际工作库 映射对应


vlog -work work ./ex_shift_reg.v
vlog -work work ./tb_ex_shift_reg.v

# 可以连接多个逻辑库 vsim -t ns -voptargs=+acc -L 库1 -L 库2 
# -t 运行仿真时间的精度
# -L 链接库关键词
# 仿真,仿真最顶层  库.文件
vsim -voptargs=+acc work.tb_ex_shift_reg

#添加波形

add wave tb_ex_shift_reg/lvds_clk
add wave tb_ex_shift_reg/rst_n
add wave tb_ex_shift_reg/lvds_d
add wave tb_ex_shift_reg/o_lvds_d

# 加这一些信号的名字
add wave -divider {tb_ex_shift_reg}
# 通配符匹配所有信号
# 顶层/例化的名字/*
add wave tb_ex_shift_reg/uut/*

run 5us

如果不想手动打开modelsim,可以在cmd中输入

vsim -do file.do

这时会自动打开modelsim,仿真波形

二.后仿真

  • 0
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值